DE112012004134T5 - Anpassung von Schwellenspannungen für Thin-Body-Mosfets - Google Patents

Anpassung von Schwellenspannungen für Thin-Body-Mosfets Download PDF

Info

Publication number
DE112012004134T5
DE112012004134T5 DE112012004134.8T DE112012004134T DE112012004134T5 DE 112012004134 T5 DE112012004134 T5 DE 112012004134T5 DE 112012004134 T DE112012004134 T DE 112012004134T DE 112012004134 T5 DE112012004134 T5 DE 112012004134T5
Authority
DE
Germany
Prior art keywords
carbon
layer
fin
silicon
implanted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112012004134.8T
Other languages
English (en)
Other versions
DE112012004134B4 (de
Inventor
c/o IBM Corp. Brodsky Mary Jane
c/o IBM Corp. Cai Ming
c/o IBM Corp. Guo Dechao
c/o IBM Corp. Henson William K.
c/o IBM Corp. Narasimha Shreesh
c/o IBM Corp. Liang Yue
c/o IBM Corp. Song Liyang
c/o IBM Corp. Wang Yanfeng
c/o IBM Corp. Yeh Chun-chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112012004134T5 publication Critical patent/DE112012004134T5/de
Application granted granted Critical
Publication of DE112012004134B4 publication Critical patent/DE112012004134B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Thin Film Transistor (AREA)

Abstract

Eine Struktur beinhaltet ein Substrat; einen Transistor, der über dem Substrat angeordnet ist, wobei der Transistor eine Finne aufweist, die aus Silicium besteht, das mit Kohlenstoff implantiert ist; und eine Schicht eines Gate-Dielektrikums und eine Schicht eines Gate-Metalls, die über einem Abschnitt der Finne liegen, der einen Kanal des Transistors definiert. In der Struktur wird eine Kohlenstoffkonzentration innerhalb der Finne so gewählt, dass eine gewünschte Schwellenspannung des Transistors erreicht wird. Darüber hinaus werden Verfahren zum Fertigen eines FinFET-Transistors offenbart. Zudem wird ein planarer Transistor mit einer mit Kohlenstoff implantierten Wanne offenbart, wobei die Kohlenstoffkonzentration innerhalb der Wanne so gewählt wird, dass eine gewünschte Schwellenspannung des Transistors erreicht wird.

Description

  • TECHNISCHES GEBIET:
  • Die beispielhaften Ausführungsformen dieser Erfindung beziehen sich allgemein auf Halbleitereinheiten, Transistoren, Feldeffekttransistoren (FETs), FinFETs und Multigate-FETs im Allgemeinen und beziehen sich ferner auf das Implantieren von Kohlenstoff(C)-Ionen in Halbleitereinheiten.
  • HINTERGRUND:
  • Es ist wünschenswert, eine niedrige Schwellenspannung (Vt) bei Transistoren zu erzielen, die mit einem skalierten (gesenkten) Vdd-Wert arbeiten. Bei Thin-Body-Transistoren wie zum Beispiel den als FinFETs und Multigate bezeichneten, z. B. Tri-Gate-FETs, sind aufgrund des begrenzten verfügbaren Substratvolumens herkömmliche Dotierungsansätze zum Anpassen der Schwellenspannung nicht probat, um auf demselben Substrat Transistoren mit unterschiedlichen Schwellenspannungen bereitzustellen.
  • KURZDARSTELLUNG
  • In einem ersten Aspekt davon stellen die beispielhaften Ausführungsformen dieser Erfindung eine Struktur bereit, die ein Substrat; einen Transistor, der über dem Substrat angeordnet ist, wobei der Transistor eine Finne aufweist, die aus Silicium besteht, das mit Kohlenstoff implantiert ist; und eine Schicht eines Gate-Dielektrikums und eine Schicht eines Gate-Metalls beinhaltet, die über einem Abschnitt der Finne liegen, der einen Kanal des Transistors definiert. In der Struktur wird eine Kohlenstoffkonzentration innerhalb der Finne so gewählt, dass eine gewünschte Schwellenspannung des Transistors erreicht wird.
  • In einem weiteren Aspekt davon stellen die beispielhaften Ausführungsformen dieser Erfindung ein Verfahren zum Fertigen von Transistoreinheiten bereit. Das Verfahren weist ein Bereitstellen einer Siliciumschicht, die eine Schicht eines Abschirmoxids aufweist, die auf einer oberen Fläche ausgebildet ist; ein Aufbringen einer ersten Maskierungsschicht in einer Weise, dass ein erster Abschnitt der Abschirmoxidschicht unbedeckt bleibt; ein Implantieren von Kohlenstoff in die Siliciumschicht durch den unbedeckten ersten Abschnitt der Abschirmoxidschicht, um ein erstes mit Kohlenstoff implantiertes Volumen der Siliciumschicht mit einer ersten Kohlenstoffkonzentration auszubilden; ein Entfernen der ersten Maskierungsschicht; ein Aufbringen einer zweiten Maskierungsschicht in einer Weise, dass ein zweiter Abschnitt der Abschirmoxidschicht unbedeckt bleibt; ein Implantieren von Kohlenstoff in die Siliciumschicht durch den unbedeckten zweiten Abschnitt der Abschirmoxidschicht, um ein zweites mit Kohlenstoff implantiertes Volumen der Siliciumschicht auszubilden, das eine zweite Kohlenstoffkonzentration aufweist, die sich von der ersten Kohlenstoffkonzentration unterscheidet; ein Entfernen der zweiten Maskierungsschicht; und ein Bearbeiten der Siliciumschicht auf, um eine erste FinFET-Einheit mit einem Kanal, der das erste Volumen der Siliciumschicht enthält, und eine zweite FinFET-Einheit mit einem Kanal auszubilden, der das zweite Volumen der Siliciumschicht enthält. Bei diesem Verfahren weist die erste FinFET-Einheit eine erste Schwellenspannung auf, und die zweite FinFET-Einheit weist eine zweite Schwellenspannung auf, die sich von der ersten Schwellenspannung um einen Betrag unterscheidet, der mit einer Differenz zwischen der ersten Kohlenstoffkonzentration und der zweiten Kohlenstoffkonzentration in Zusammenhang steht.
  • In einem noch weiteren Aspekt davon stellen die beispielhaften Ausführungsformen dieser Erfindung ein Verfahren zum Fertigen von Transistoreinheiten bereit. Das Verfahren weist ein Ausbilden einer Vielzahl von Finnen aus einer Siliciumschicht; ein Aufbringen einer ersten Maskierungsschicht auf eine erste Finne, wohingegen eine zweite Finne unmaskiert bleibt; ein Implantieren von Kohlenstoff in die unmaskierte zweite Finne, um eine erste Kohlenstoffkonzentration zu erzielen; ein Entfernen der ersten Maskierungsschicht; ein Aufbringen einer zweiten Maskierungsschicht auf die implantierte zweite Finne, wohingegen die erste Finne unmaskiert bleibt; ein Implantieren von Kohlenstoff in die unmaskierte erste Finne, um eine zweite Kohlenstoffkonzentration zu erzielen; ein Entfernen der zweiten Maskierungsschicht; und ein Ausbilden einer ersten FinFET-Einheit, die einen Kanal innerhalb der ersten Finne aufweist, und einer zweiten FinFET-Einheit mit einem Kanal innerhalb der zweiten Finne auf. Bei diesem Verfahren weist die erste FinFET-Einheit eine erste Schwellenspannung auf, und die zweite FinFET-Einheit weist eine zweite Schwellenspannung auf, die sich von der ersten Schwellenspannung um einen Betrag unterscheidet, der mit einer Differenz zwischen der ersten Kohlenstoffkonzentration und der zweiten Kohlenstoffkonzentration in Zusammenhang steht.
  • In einem noch weiteren Aspekt davon stellen die beispielhaften Ausführungsformen dieser Erfindung ein Verfahren zum Fertigen einer Transistoreinheit bereit. Das Verfahren weist ein Durchführen einer Wannenimplantation in eine Fläche einer Siliciumschicht, um eine implantierte Wanne auszubilden; ein Tempern der implantierten Wanne; und ein Implantieren von Kohlenstoff in die getemperte Wanne auf, wobei der Kohlenstoff vor dem Ausbilden einer Grenzschicht auf der Fläche der Siliciumschicht implantiert wird. Bei diesem Verfahren weist eine resultierende Transistoreinheit eine Schwellenspannung auf, die im Vergleich mit einer Wanne ohne Kohlenstoffimplantation um einen Betrag gesenkt ist, der zumindest durch die Kohlenstoffkonzentration in der implantierten Wanne bestimmt wird.
  • KURZBESCHREIBUNG DER VERSCHIEDENEN ANSICHTEN DER ZEICHNUNGEN
  • 1A bis 1E, die gemeinsam als 1 bezeichnet werden, stellen ein Beispiel für einen Prozessablauf gemäß einer Ausführungsform dieser Erfindung dar, wobei
  • 1A eine nicht maßstabsgetreue vergrößerte Querschnittsansicht eines Abschnitts einer Ausgangshalbleiterstruktur ist, die ein Substrat, eine vergrabene Oxid(buried oxide, BOX)-Schicht, eine darüberliegende Schicht aus Silicium (SOI) und eine Hartmaske beinhaltet, die als Abschirmoxidschicht verkörpert wird;
  • 1B ein Ergebnis eines selektiven Aufbringens einer Photolackmaske auf die Fläche der Abschirmoxidschicht darstellt, um zumindest einen Flächenbereich (entsprechend zumindest einem Volumen in der darunterliegenden SOI) zu definieren, der mit Kohlenstoff mit einer ersten Implantationsdichte implantiert werden soll;
  • 1C darstellt, dass die Bereiche der Photolackmaske nach der ersten Kohlenstoffimplantation entfernt worden sind;
  • 1D ein Ergebnis eines selektiven Wiederaufbringens der Photolackmaske auf die Fläche der Abschirmoxidschicht darstellt, um einen Flächenbereich (und zumindest ein entsprechendes Volumen in der darunterliegenden SOI) zu definieren, der mit Kohlenstoff mit einer zweiten Implantationsdichte implantiert werden soll;
  • 1E darstellt, dass die Bereiche der Photolackmaske nach der zweiten Kohlenstoffimplantation entfernt worden sind.
  • 2A bis 2H, die gemeinsam als 2 bezeichnet werden, veranschaulichen ein weiteres beispielhaftes Verfahren zum Fertigen einer FinFET-Einheit, wobei
  • 2A eine auf einem Substrat ausgebildete Hartmaskenschicht und eine Polysiliciumstruktur darstellt;
  • 2B Siliciumnitrid(SiN)-Strukturen darstellt, die entlang der Seiten der Polysiliciumstruktur ausgebildet sind;
  • 2C ein Ergebnis eines Ätzens zum Ausbilden von Finnenstrukturen darstellt;
  • 2D ein Ergebnis eines zusätzlichen Ätzens und einer Gate-Stapel- und SiN-Schichtausbildung senkrecht zu den Finnenstrukturen darstellt;
  • 2E ein Ergebnis eines Entfernens von Abschnitten der Hartmaskenschicht und der SiN-Schicht und ein Ausbilden von SiN-Abstandselementen entlang des Gate-Stapels darstellt;
  • 2F eine epitaktische Silicium(Epi-Si)-Schicht darstellt, die über den Finnenstrukturen abgeschieden ist;
  • 2G eine Querschnittsansicht einer schrägen Ionenimplantationsprozedur veranschaulicht, die Source- und Drain-Bereiche ausbildet; und
  • 2H eine Silicidschicht darstellt, die auf der Epi-Si-Schicht und über dem Gate-Stapel ausgebildet ist.
  • 3 ist eine vergrößerte Aufrissansicht (nicht maßstabsgetreu), die ein nichtbeschränkendes Beispiel für eine Vielzahl von FinFETs schematisch darstellt, wobei gemäß den beispielhaften Ausführungsformen dieser Erfindung jede der Finnen eine andere Kohlenstoffdosis (Dosis A, Dosis B, Dosis C, Dosis D) aufweist und folglich einen anderen Vt-Wert besitzt.
  • 4 ist eine grafische Darstellung des Body-Effekts bei Vorspannung in Sperrrichtung gegen eine Vt-Sättigung (Vtsat), wobei die ausgefüllten Kreise einer Kohlenstoffdotierung entsprechen und die leeren Kreise einer Nichtdotierung mit Kohlenstoff entsprechen.
  • 5 stellt eine weitere Ausführungsform eines Prozesses gemäß den beispielhaften Ausführungsformen dieser Erfindung dar, wobei Kohlenstoff in die Finnen implantiert wird, nachdem die Finnen definiert worden sind.
  • 6A bis 6G, die gemeinsam als 6 bezeichnet werden, stellen vorbereitende Bearbeitungsschritte zum Ausbilden eines HKMG-nFET gemäß Ausführungsformen dieser Erfindung dar, wobei:
  • 6A eine SOI-Schicht mit einer darüberliegenden Schicht eines Kontaktflächenoxids darstellt;
  • 6B eine herkömmliche Wannenimplantation in die SOI-Schicht darstellt;
  • 6C eine Temperung einer Wannenimplantation darstellt;
  • 6D ein Aufbringen einer Hartmaske und einen Prozess einer thermischen Oxidation darstellt;
  • 6E und 6F ein Ergebnis eines Strukturierens und eines Ablösens der Hartmaske darstellen; und
  • 6G einen Kohlenstoffimplantationsschritt darstellt, der vor einer Bearbeitung der Grenzschicht durchgeführt wird.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Gemäß den beispielhaften Ausführungsformen dieser Erfindung wird die Schwellenspannung eines Thin-Body-Transistors selektiv angepasst, um auf demselben Substrat Transistoren mit unterschiedlichen Vts bereitzustellen. Während einer Fertigung von Thin-Body-Transistoren wird eine Kohlenstoffimplantation dazu verwendet, die Transistorschwellenspannungen anzupassen. Durch Einbringen von Kohlenstoff in unterschiedlichen Dosen werden Transistoren mit unterschiedlichen Vt auf demselben Substrat bereitgestellt. Im Folgenden wird ein beispielhafter Prozessablauf beschrieben, der ein Verfahren zum Anpassen der Schwellenspannungen einsetzt.
  • 1A ist eine nicht maßstabsgetreue vergrößerte Querschnittsansicht eines Abschnitts einer Halbleiterstruktur, die ein Substrat 10, eine vergrabene Oxid(BOX)-Schicht 12, eine darüberliegende Schicht aus Silicium 14 (silicon-on-insulator, SOI) und eine Hartmaske beinhaltet, die als Abschirmoxidschicht 16 verkörpert wird. Das Substrat 10 kann eine beliebige gewünschte Dicke aufweisen, die BOX-Schicht 12 kann ebenfalls eine beliebige gewünschte Dicke (z. B. in einem Bereich von 50 nm oder weniger bis 200 nm oder mehr) aufweisen, und die Siliciumschicht 14 kann als nichtbeschränkendes Beispiel eine Dicke in einem Bereich von etwa 20 nm bis etwa 30 nm aufweisen. Die Abschirmoxidschicht 16 kann zum Beispiel aus SiO2 bestehen und kann eine Dicke von etwa 2 nm oder mehr aufweisen. Die Abschirmoxidschicht kann zum Beispiel mithilfe eines Niedertemperatur-Abscheidungsprozesses auf der Oberseite der Silicium(SOI)-Schicht 14 ausgebildet werden. Ein Zweck der Abschirmoxidschicht 16 besteht darin, die Fläche der Siliciumschicht 14 während der nachfolgenden Schritte der Implantation von Kohlenstoffionen zu schützen.
  • 1B stellt ein Ergebnis eines selektiven Aufbringens einer Photolackmaske 18 auf die Fläche der Abschirmoxidschicht 16 dar, um zumindest einen Flächenbereich (entsprechend zumindest einem Volumen in der darunterliegenden Siliciumschicht 14) zu definieren, der mit Kohlenstoff mit einer ersten Implantationsdichte implantiert werden soll. In 1B wird die Photolackmaske in zwei Bereiche 18A, 18B unterteilt dargestellt. Wenn die Kohlenstoffimplantation angewendet wird, verhindern die Photolackbereiche 18A, 18B, dass die Kohlenstoffionen die Abschirmoxidschicht 16 und die Siliciumschicht 14 erreichen.
  • Während des Kohlenstoffimplantationsschritts und in der Annahme des nichtbeschränkenden Falls einer 2 nm dicken Abschirmoxidschicht 16 und einer SOI-Schicht 14 mit einer Dicke im Bereich von etwa 20 nm bis etwa 30 nm können mehrere Kohlenstoffimplantationen mithilfe unterschiedlicher Energien wie zum Beispiel 8 keV (tiefe Implantation) und 4 keV (flache Implantation) mit einer Dosis im Bereich von beispielsweise etwa 1 × 1014 bis etwa 2 × 1015 Atomen/cm2 durchgeführt werden. Das Ziel besteht darin, das unmaskierte Volumen der Siliciumschicht 14 im Wesentlichen gleichmäßig so mit Kohlenstoff mit einer erwünschten Dotierstoffkonzentration (in 1B als CDosis1 gekennzeichnet) zu dotieren, dass die Kohlenstoffkonzentration über die gesamte Dicke der Siliciumschicht 14 im Wesentlichen gleichmäßig ist. Es können mehrere Kohlenstoffimplantationen mit verschiedenen Energien angewendet werden, um das im Wesentlichen gleichmäßige Kohlenstoff-Dotierungsprofil zu erzielen.
  • 1C stellt dar, dass die Photolackbereiche 18A und 18B mithilfe eines beliebigen geeigneten Photolack-Entfernungsprozesses entfernt (abgelöst) worden sind.
  • 1D stellt ein Ergebnis eines selektiven Wiederaufbringens der Photolackmaske 18 auf die Fläche der Abschirmoxidschicht 16 dar, um einen Flächenbereich (und zumindest ein entsprechendes Volumen in der darunterliegenden Siliciumschicht 14) zu definieren, der mit Kohlenstoff mit einer zweiten Implantationsdichte implantiert werden soll. In dem Beispiel von 1D wird die Photolackmaske als ein einziger Bereich 18C dargestellt. Wenn die Kohlenstoffimplantation angewendet wird, verhindert der Photolackbereich 18C, dass die Kohlenstoffionen die Abschirmoxidschicht 16 und die Siliciumschicht 14 erreichen. Das Ziel besteht wiederum darin, das unmaskierte Volumen der Siliciumschicht 14 im Wesentlichen gleichmäßig so mit Kohlenstoff mit einer erwünschten Dotierstoffkonzentration (in 1C als CDosis2 gekennzeichnet) zu dotieren, dass die Kohlenstoffkonzentration über die gesamte Dicke der Siliciumschicht 14 im Wesentlichen gleichmäßig ist. Es können mehrere Kohlenstoffimplantationen mit verschiedenen Energien angewendet werden, um das im Wesentlichen gleichmäßige Kohlenstoff-Dotierungsprofil zu erzielen. Es kann davon ausgegangen werden, dass CDosis1 und CDosis2 unterschiedlich sind, sodass resultierende FETs (in diesem Fall FinFETs), die anschließend aus der Siliciumschicht 14 ausgebildet werden, unterschiedliche Vt-Werte aufweisen.
  • 1E stellt dar, dass der Photolackbereich 1C mithilfe eines beliebigen geeigneten Photolack-Entfernungsprozesses entfernt (abgelöst) worden ist.
  • Die in 1B bis 1E dargestellten Prozesse können mehrfach wiederholt werden, um die selektive Dotierung verschiedener Volumina der Siliciumschicht 14 mit unterschiedlichen Konzentrationen von Kohlenstoff zu erreichen. Wenn die Kohlenstoffimplantationsschritte abgeschlossen sind, wird die Abschirmoxidschicht 16 abgelöst, und es kann eine herkömmliche FinFET-Bearbeitung durchgeführt werden, um eine Vielzahl von FinFETs in der Siliciumschicht 14 zu definieren, wobei verschiedene FinFETs unterschiedliche Kohlenstoffkonzentrationen enthalten, um FinFETs mit unterschiedlichen Vt-Werten herzustellen.
  • 2A bis 2H stellen eine Übersicht über nur eine beispielhafte und nichtbeschränkende Technik zum Fertigen eines FinFET bereit, wie sie im Hinblick auf eine Ausführungsform beschrieben worden ist, die in der Veröffentlichung der gemeinsam übertragenen US-Patentanmeldung US 2011/0 065 244 A1 , „Asymmetric FINFET Device with Improved Parasitic Resistance and Capacitance”, Josephine B. Chang, Leland Chang, Chung-Hsun Lin und Jeffery W. Sleight, dargestellt worden ist.
  • Unter Bezugnahme auf 2A wird eine Hartmaskenschicht 104 aus Siliciumdioxid (SiO2) (oder Siliciumnitrid (SiN)) auf einem Substrat ausgebildet. In der veranschaulichten Ausführungsform kann es sich bei dem Substrat um eine Silicium-auf-Isolator(SOI)-Schicht 111 handeln, die der in 1A bis 1E dargestellten, selektiv mit Kohlenstoff dotierten Siliciumschicht 14 entspricht. Durch Abscheide- und Ätzprozesse wird eine Polysiliciumstruktur 204 auf der Hartmaskenschicht 104 ausgebildet. Unter Bezugnahme auf 2B werden mithilfe von Abscheide- und Ätzprozessen Siliciumnitrid(SiN)-Strukturen 206 entlang der Seiten der Polysiliciumstruktur 204 ausgebildet. In 2C werden die Polysiliciumstruktur 204 und Abschnitte der Hartmaskenschicht 104 und der SOI-Schicht 111 geätzt, um Finnenstrukturen 208 auszubilden. Die veranschaulichten beiden Finnenstrukturen 208 sind beispielhaft, da mehr oder weniger als zwei Finnenstrukturen ausgebildet werden können. In 2D werden die SiN-Strukturen 206 geätzt, und ein Gate-Stapelabschnitt 102 und eine SiN-Schicht 210 werden senkrecht zu den Finnenstrukturen 208 ausgebildet. Unter Bezugnahme auf 2E werden Abschnitte der Hartmaskenschicht 104 und der SiN-Schicht 210 entfernt, und SiN-Abstandselemente 106 werden entlang des Gate-Stapelabschnitts 102 ausgebildet. In 2F wird eine epitaktische Silicium(Epi-Si)-Schicht 214 über den verbleibenden Finnenstrukturen 205 ausgebildet, um die Finnenstrukturen zu verbinden. 2G veranschaulicht eine Querschnittsansicht einer Zonenimplantation, die die Bereiche der Source 108 und des Drain 110 in der SOI-Schicht 111 ausbildet. Bei der veranschaulichten nichtbeschränkenden Ausführungsform werden die Ionen 203 in einem Winkel (Theta) von einer Linie senkrecht zu dem Source-Bereich 108 aus implantiert. Der Gate-Stapelabschnitt 102 und die Abstandselemente 106 verhindern teilweise, dass einige der Ionen 203 mit einer hohen Konzentration in den Abschnitt 212 der SOI-Schicht 111 abgeschieden werden, und die Implantation in dem schrägen Winkel (Theta) resultiert in einem überlappten Source-Bereich 108 und einem versetzten Drain-Bereich 110. Der Implantationswinkel kann in einem Bereich von 0 bis 90 Grad liegen, und jeder beliebige zusätzliche liegt in einem Bereich von 0 bis 90 Grad. Bei den veranschaulichten Ausführungsformen wird ein beispielhafter Implantationswinkel von 20 bis 30 Grad dargestellt. Unter Bezugnahme auf 2H wird eine Silicidschicht 216 auf der Epi-Si-Schicht 214 und über dem Gate-Stapelabschnitt 102 ausgebildet.
  • 3 stellt ein nichtbeschränkendes Beispiel für eine Vielzahl von FinFETs 300A, 300B, 300C und 300D dar, die aus Finnen 301A, 301B, 301C bzw. 301D bestehen und die eine gemeinsame Gate-Isolatorschicht 302 aufweisen, die zum Beispiel aus einem Material mit hoher Dielektrizitätskonstante (high-k) und einer gemeinsamen Gate-Metallisierung 304 besteht. In 3 ist die Dicke der Gate-Metallschicht 304 nicht maßstabsgetreu gezeichnet. Gemäß den beispielhaften Ausführungsformen dieser Erfindung weist jede der Finnen 301 eine unterschiedliche Kohlenstoffdosis (Dosis A, Dosis B, Dosis C, Dosis D) auf und besitzt folglich einen anderen Vt-Wert. Die unterschiedlichen Kohlenstoffdosen können mithilfe des in 1A bis 1E dargestellten Prozessablaufs erzielt werden, das heißt durch selektives Maskieren verschiedener Bereiche der Siliciumschicht 14 vor dem Implantieren von Kohlenstoff. Während der FinFET-Bearbeitung (z. B. wie in 2A bis 2H dargestellt) werden die unterschiedlich mit Kohlenstoff dotierten Siliciumbereiche in verschiedene der Finnen 301 und so in die resultierenden verschiedenen FinFETs 300 einbezogen. Wie dargestellt, befindet sich auf jeder Siliciumfinne 301 eine Hartmaskenschicht 303 wie zum Beispiel eine aus SiN bestehende.
  • Als nichtbeschränkende Beispiele kann jede Finne 301 eine Breite von etwa 10 nm oder weniger und eine Höhe in einem Bereich von etwa 20 nm bis etwa 30 nm (d. h. eine Höhe, die etwa mit der Dicke der Siliciumschicht 14 übereinstimmt) aufweisen. Die dielektrische Schicht 302 mit hoher Dielektrizitätskonstante (high-k) wird über den Finnen 301 ausgebildet. Die dielektrische High-k-Schicht 302 weist ein Material mit hoher Dielektrizitätskonstante (high-k) auf, das ein dielektrisches Metalloxid aufweist und eine Dielektrizitätskonstante aufweist, die höher als die Dielektrizitätskonstante von Siliciumnitrid von 7,5 ist. Die dielektrische High-k-Schicht 302 kann mit Verfahren ausgebildet werden, die in der Technik bestens bekannt sind, darunter beispielsweise chemische Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD), Molekularstrahlabscheidung (MBD), Laserstrahlverdampfen (PLD), chemische Abscheidung mit einer Flüssigkeitsnebelquelle (LSMCD) usw. Das dielektrische Metalloxid weist ein Metall und Sauerstoff und wahlweise Stickstoff und/oder Silicium auf. Zu beispielhaften dielektrischen High-k-Materialien gehören HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, ein Silicat davon und eine Legierung davon. Jeder Wert von x beträgt unabhängig zwischen 0,5 und 3, und jeder Wert von y beträgt unabhängig zwischen 0 und 2. Die Dicke der dielektrischen High-k-Schicht 302 kann von 1 nm bis 10 nm und bevorzugter von 1,5 nm bis 3 nm betragen. Die dielektrische High-k-Schicht 30 kann eine effektive Oxiddicke (effective oxide thickness, EOT) in der Größenordnung von etwa 1 nm oder weniger aufweisen. Das Gate-Metall 304 kann direkt auf der oberen Fläche der dielektrischen High-k-Schicht 302 abgeschieden werden, beispielsweise durch chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD) oder Atomlagenabscheidung (ALD). Als nichtbeschränkende Beispiele kann das Gate-Metall 304 ein Metallsystem beinhalten, das aus TiN, TiC, TaN, TaC, TaSiN, HfN, W, Al und Ru ausgewählt wird.
  • Es ist festgestellt worden, dass bei einem Bereich von Kohlenstoffdosen, die in Kohlenstoffkonzentrationen von etwa 2 × 1014 bis etwa 5 × 1015 Atomen/cm3 resultieren, die Vt im Vergleich zu Silicium, das nicht mit Kohlenstoff implantiert worden ist, um bis zu 200 mV gesenkt werden kann. Es ist außerdem festgestellt worden, dass die Drain-induzierte Barrierenabsenkung (drain induced barrier lowering, DIBL) für einen Bereich von Gate-Längen von etwa 0,025 bis etwa 0,035 Mikrometer relativ konstant bleibt, was darauf hindeutet, dass die Kohlenstoffimplantation nicht zu einer nennenswerten Verschlechterung des Kurzkanaleffekts (short channel effect, SCE) führt.
  • 4 stellt den Body-Effekt bei Vorspannung in Sperrrichtung gegen eine Vt-Sättigung (Vtsat) grafisch dar, wobei die ausgefüllten Kreise einer Kohlenstoffdotierung entsprechen und die leeren Kreise einer Nichtdotierung mit Kohlenstoff entsprechen. Dieses Beispiel geht von einem nFET, der einen Kanal aufweist, der mit Bor (1018 Atome/cm3) dotiert ist, bei regulärer Vt (RVT), hoher Vt (HVT) und sehr hoher Vt (SVT) aus. Es ist die erhebliche Senkung der Vt zu beachten, die die kohlenstoffdotierten Einheiten im Vergleich zu den Einheiten zeigen, die nicht mit Kohlenstoff dotiert sind.
  • In 4 wird Kohlenstoff für eine Vt-Senkung in teilweise verarmtes SOI implantiert. Wenn die Vt-Anpassung durch die Austrittsarbeit erfolgt, bleibt der Body-Effekt unverändert. Wenn die Vt-Anpassung durch den Wannendotierstoff erfolgt, sollte der Body-Effekt gesteigert werden. Durch Einbringen von Kohlenstoff wird die Vt angepasst, der Body-Effekt ändert sich jedoch nicht. Daher kann geschlossen werden, dass es sich bei der Vt-Verschiebung, die durch die Kohlenstoffdotierung erzielt wird, um eine Folge der Einstellung der effektiven Austrittsarbeit handelt.
  • 5 stellt eine weitere Ausführungsform eines Prozesses gemäß den beispielhaften Ausführungsformen dieser Erfindung dar. 5 unterscheidet sich von 1 darin, dass der Kohlenstoff implantiert wird, nachdem die Finnen definiert worden sind und bevor die High-k-Gate-Isolator- und Gate-Metallschichten aufgebracht werden. 5 stellt einen beispielhaften Fall dreier angrenzender Finnen 500A, 500B und 500C dar, wobei die mittlere Finne 500B mit Kohlenstoff implantiert wird und die äußeren Finnen 500A und 500C durch eine Maske 502 (z. B. ein Abschirmoxid SiO2) bedeckt worden sind. Der Implantationswinkel θ wird auf der Grundlage der Finnenhöhe und des -abstands vorgegeben, um Schatteneffekte zu vermeiden, sodass das gesamte Volumen der Finne 500B im Wesentlichen gleichmäßig mit Kohlenstoff dotiert wird. Entweder das Substrat oder die Ionenquelle kann gedreht werden, sodass beide vertikalen Hauptflächen der Finne 500B mit Kohlenstoff implantiert werden können. Nach dem Implantieren der ersten Finne (in diesem Fall 500B) wird die Maske 502 abgelöst und selektiv wiederaufgebracht, wie es zum Implantieren der nächsten Finne oder Finnen erforderlich ist. Die Kohlenstoff-Implantationsdichte wird nach Bedarf angepasst, um den erwünschten Vt-Wert für den resultierenden FinFET zu erzielen.
  • Bei den Ausführungsformen von 1 und 5 ist es nicht erforderlich, dass alle Finnen implantierten Kohlenstoff enthalten, da es bei manchen Transistoreinheiten wünschenswert sein kann, einen Vt-Wert bereitzustellen, der nicht gesenkt wurde.
  • Die beispielhaften Ausführungsformen dieser Erfindung sind bisher im Zusammenhang mit der Fertigung von FinFETs und Multigate-Transistoren beschrieben worden. Die Ausführungsformen dieser Erfindung umfassen jedoch auch planare Transistoreinheiten wie etwa diejenigen, die mithilfe von teilweise verarmtem SOI (partially depleted SOI, PDSOI) gefertigt werden.
  • Wie zuvor angemerkt, ist es wünschenswert, für Transistoren mit skalierter Vdd niedrige Schwellenspannungen zu erzielen. Ein Ansatz zum Senken der Vt eines High-k-Metall-Gate-(HKMG)-nFET besteht in einer Diffusion von seltenen Metallen in Richtung der unteren Grenzschicht aus HfO2 z. B. mithilfe von La oder Lu. Dieser Ansatz weist jedoch einen Nachteil in einer Verschlechterung der Elektronenbeweglichkeit auf. Die beispielhaften Ausführungsformen dieser Erfindung stellen außerdem eine Technik zum Senken der Vt von HKMG-nFETs ohne Verschlechterung der Elektronenbeweglichkeit mithilfe einer Kohlenstoffimplantation bereit.
  • 6 stellt vorbereitende Bearbeitungsschritte zum Ausbilden eines HKMG-nFET dar. Es kann auch ein HKMG-pFET ausgebildet werden. 6A stellt eine SOI-Schicht 600 mit einer darüberliegenden Schicht eines Kontaktflächenoxids 602 dar. 6B stellt eine herkömmliche Wannenimplantation in die SOI-Schicht 600 dar. 6C stellt eine Temperung der Wannenimplantation (schnelle thermische Temperung, rapid thermal anneal (RTA)) dar, die bei etwa 1.000°C über mehrere Sekunden (z. B. 5 Sekunden) durchgeführt werden kann. 6D stellt ein Aufbringen einer Hartmaske 604 und einen Prozess einer thermischen Oxidation dar. 6E und 6F stellen ein Ergebnis eines Strukturierens 606 und eines Ablösens der Hartmaske dar. 6G stellt einen Kohlenstoffimplantationsschritt dar (Masken können gegebenenfalls aufgebracht werden). Bei der Kohlenstoffimplantation kann es sich um eine Niederenergieimplantation handeln, da es nicht erforderlich ist, den Kohlenstoff durch die gesamte Dicke der SOI-Schicht 600 zu implantieren. Ein nachfolgender Schritt beinhaltet eine Grenzschichtbearbeitung, um die Grenzschicht (interfacial layer, IL) dort aufzubringen, wo anschließend Gate-Stapel ausgebildet werden.
  • Gemäß der Erfindung wird der Kohlenstoffimplantationsschritt von 6G nach der Wannenimplantation (6B) und nach der Wannen-RTA (6C) und vor der Grenzschichtbearbeitung durchgeführt. Die Kohlenstoffimplantation wird durchgeführt, um die Vt des anschließend ausgebildeten HKMG-Transistors in ähnlicher Weise wie die für die FinFET-Ausführungsformen beschriebenen auf einen erwünschten Wert anzupassen.
  • Die beispielhaften Ausführungsformen dieser Erfindung können dazu verwendet werden, integrierte Schaltungs-Chips zu fertigen, die durch den Hersteller in Form eines Roh-Wafers (das heißt, als einzelner Wafer, der mehrere gehäuselose Chips aufweist), als bloßer Chip oder in einem Gehäuse vertrieben werden können. Im letzteren Fall wird der Chip in einem Einzel-Chip-Gehäuse (wie zum Beispiel auf einem Kunststoffträger mit Zuleitungen, die an einer Hauptplatine oder einem sonstigen übergeordneten Träger befestigt sind) oder in einem Mehrfach-Chip-Gehäuse angebracht (wie zum Beispiel auf einem Keramikträger, der entweder Oberflächenverbindungen oder vergrabene Verbindungen oder beides aufweist). In jedem Fall wird der Chip anschließend mit anderen Chips, diskreten Schaltungselementen und/oder sonstigen Signalverarbeitungseinheiten als Teil entweder (a) eines Zwischenproduktes wie zum Beispiel einer Hauptplatine oder (b) eines Endproduktes integriert. Bei dem Endprodukt kann es sich um ein beliebiges Produkt handeln, das integrierte Schaltungs-Chips beinhaltet, von Spielzeug und sonstigen einfachen Anwendungen bis hin zu hochentwickelten Computerprodukten, die eine Anzeige, eine Tastatur oder eine sonstige Eingabeeinheit und einen Zentralprozessor aufweisen.
  • Die hierin verwendete Terminologie dient lediglich der Beschreibung bestimmter Ausführungsformen und soll die Erfindung nicht beschränken. So, wie sie hierin verwendet werden, sollen die Singularformen „ein”, „eine” und „der”, „die”, „das” auch die Pluralformen beinhalten, sofern dies aus dem Kontext nicht eindeutig anders hervorgeht. Es versteht sich darüber hinaus, dass die Begriffe „aufweist” und/oder „aufweisend”, wenn sie in dieser Beschreibung verwendet werden, das Vorhandensein von angegebenen Merkmalen, Ganzzahlen, Schritten, Vorgängen, Elementen und/oder Komponenten bezeichnen, jedoch nicht das Vorhandensein oder die Beifügung von einem/einer oder mehreren anderen Merkmalen, Ganzzahlen, Schritten, Vorgängen, Elementen, Komponenten und/oder Gruppen davon ausschließen.
  • Die entsprechenden Strukturen, Materialien, Vorgänge und Entsprechungen aller Mittel oder Schritt-plus-Funktion-Elemente in den nachstehenden Ansprüchen sollen jede Struktur, jedes Material bzw. jeden Vorgang zum Ausführen der Funktion in Kombination mit anderen beanspruchten Elementen als ausdrücklich beansprucht beinhalten. Die Beschreibung der vorliegenden Erfindung erfolgte zum Zweck der Veranschaulichung und Beschreibung, ist jedoch nicht erschöpfend oder auf die Erfindung in der dargestellten Form beschränkt gemeint. Viele Modifizierungen und Varianten sind für Fachleute ersichtlich, ohne vom Umfang und Gedanken der Erfindung abzuweichen. Die Ausführungsform wurde ausgewählt und beschrieben, um die Grundgedanken der Erfindung und die praktische Anwendung am besten zu erläutern und um anderen Fachleuten das Verständnis der Erfindung für verschiedene Ausführungsformen mit verschiedenen, für den in Betracht gezogenen Einsatz geeigneten Modifizierungen zu ermöglichen.
  • Insofern können Fachleuten in der entsprechenden Technik angesichts der obigen Beschreibung, wenn sie in Verbindung mit den beigefügten Zeichnungen und den angefügten Ansprüchen gelesen wird, verschiedene Modifizierungen und Anpassungen ersichtlich werden. Als nur einige Beispiele können die Verwendung sonstiger Schichtdicken, Schichtmaterialien, Abmessungen von Strukturelementen, Prozessvorrichtungen, Implantationsenergien und -dosen und dergleichen von Fachleuten verwendet werden. All diese und ähnliche Modifizierungen der Lehren dieser Erfindung fallen jedoch noch in den Umfang dieser Erfindung.

Claims (15)

  1. Struktur, die aufweist: ein Substrat; einen Transistor, der über dem Substrat angeordnet ist, wobei der Transistor eine Finne aufweist, die aus Silicium besteht, das mit Kohlenstoff implantiert ist; und eine Schicht eines Gate-Dielektrikums und eine Schicht eines Gate-Metalls, die über einem Abschnitt der Finne liegen, der einen Kanal des Transistors definiert, wobei eine Kohlenstoffkonzentration innerhalb der Finne so gewählt wird, dass eine gewünschte Schwellenspannung des Transistors erreicht wird.
  2. Struktur nach Anspruch 1, wobei die Kohlenstoffkonzentration im Wesentlichen in der gesamten Finne gleichmäßig ist.
  3. Struktur nach einem der Ansprüche 1 oder 2, wobei der Kohlenstoff in das Silicium implantiert wird, bevor die Finne ausgebildet wird.
  4. Struktur nach einem der Ansprüche 1 bis 3, wobei der Kohlenstoff in das Silicium implantiert wird, nachdem die Finne ausgebildet worden ist.
  5. Struktur nach einem der Ansprüche 1 bis 4, wobei eine Vielzahl von Transistoren über dem Substrat angeordnet sind, die jeweils eine zugehörige Finne aufweisen, und wobei eine Kohlenstoffkonzentration innerhalb zumindest zweier Finnen sich so unterscheidet, dass jeder der zugehörigen Transistoren einen anderen Schwellenspannungswert aufweist.
  6. Verfahren zum Fertigen von Transistoreinheiten, das aufweist: Bereitstellen einer Siliciumschicht, die eine Schicht eines Abschirmoxids aufweist, die auf einer oberen Fläche ausgebildet ist; Aufbringen einer ersten Maskierungsschicht in einer Weise, dass ein erster Abschnitt der Abschirmoxidschicht unbedeckt bleibt; Implantieren von Kohlenstoff in die Siliciumschicht durch den unbedeckten ersten Abschnitt der Abschirmoxidschicht, um ein erstes mit Kohlenstoff implantiertes Volumen der Siliciumschicht mit einer ersten Kohlenstoffkonzentration auszubilden; Entfernen der ersten Maskierungsschicht; Aufbringen einer zweiten Maskierungsschicht in einer Weise, dass ein zweiter Abschnitt der Abschirmoxidschicht unbedeckt bleibt; Implantieren von Kohlenstoff in die Siliciumschicht durch den unbedeckten zweiten Abschnitt der Abschirmoxidschicht, um ein zweites mit Kohlenstoff implantiertes Volumen der Siliciumschicht auszubilden, das eine zweite Kohlenstoffkonzentration aufweist, die sich von der ersten Kohlenstoffkonzentration unterscheidet; Entfernen der zweiten Maskierungsschicht; und Bearbeiten der Siliciumschicht, um eine erste FinFET-Einheit mit einem Kanal, der das erste Volumen der Siliciumschicht enthält, und eine zweite FinFET-Einheit mit einem Kanal auszubilden, der das zweite Volumen der Siliciumschicht enthält, wobei die erste FinFET-Einheit eine erste Schwellenspannung aufweist und die zweite FinFET-Einheit eine zweite Schwellenspannung aufweist, die sich von der ersten Schwellenspannung um einen Betrag unterscheidet, der mit einer Differenz zwischen der ersten Kohlenstoffkonzentration und der zweiten Kohlenstoffkonzentration in Zusammenhang steht.
  7. Verfahren nach Anspruch 6, wobei jeder Schritt zum Implantieren eine Verwendung von zumindest zwei Kohlenstoffimplantationsvorgängen aufweist, die jeweils mithilfe einer anderen Implantationsenergie so durchgeführt werden, dass die Kohlenstoffkonzentration über die gesamte Dicke der Siliciumschicht innerhalb des implantierten Volumens im Wesentlichen gleichmäßig ist.
  8. Verfahren nach einem der Ansprüche 6 oder 7, das des Weiteren ein Entfernen der Abschirmoxidschicht vor dem Schritt der Bearbeitung der Siliciumschicht aufweist.
  9. Verfahren nach einem der Ansprüche 6 bis 8, wobei die erste und zweite Kohlenstoffkonzentration jeweils in einem Bereich von etwa 2 × 1014 bis etwa 5 × 1015 Atomen/cm3 liegen.
  10. Verfahren nach einem der Ansprüche 6 bis 9, wobei es sich bei der Siliciumschicht um eine Silicium-auf-Isolator(SOI)-Schicht handelt.
  11. Verfahren zum Fertigen von Transistoreinheiten, das aufweist: Ausbilden einer Vielzahl von Finnen aus einer Siliciumschicht; Aufbringen einer ersten Maskierungsschicht auf eine erste Finne, wohingegen eine zweite Finne unmaskiert bleibt; Implantieren von Kohlenstoff in die unmaskierte zweite Finne, um eine erste Kohlenstoffkonzentration zu erzielen; Entfernen der ersten Maskierungsschicht; Aufbringen einer zweiten Maskierungsschicht auf die implantierte zweite Finne, wohingegen die erste Finne unmaskiert bleibt; Implantieren von Kohlenstoff in die unmaskierte erste Finne, um eine zweite Kohlenstoffkonzentration zu erzielen; Entfernen der zweiten Maskierungsschicht; und Ausbilden einer ersten FinFET-Einheit, die einen Kanal innerhalb der ersten Finne aufweist, und einer zweiten FinFET-Einheit mit einem Kanal innerhalb der zweiten Finne, wobei die erste FinFET-Einheit eine erste Schwellenspannung aufweist und die zweite FinFET-Einheit eine zweite Schwellenspannung aufweist, die sich von der ersten Schwellenspannung um einen Betrag unterscheidet, der mit einer Differenz zwischen der ersten Kohlenstoffkonzentration und der zweiten Kohlenstoffkonzentration in Zusammenhang steht.
  12. Verfahren nach Anspruch 11, wobei jeder Schritt zum Implantieren eine Verwendung von zumindest zwei Kohlenstoffimplantationsvorgängen aufweist, die auf jeder von zwei Hauptflächen der Finne so durchgeführt werden, dass die Kohlenstoffkonzentration über die gesamte Dicke der Finne im Wesentlichen gleichmäßig gestaltet wird.
  13. Verfahren nach einem der Ansprüche 11 oder 12, wobei die erste und zweite Kohlenstoffkonzentration jeweils in einem Bereich von etwa 2 × 1014 bis etwa 5 × 1015 Atomen/cm3 liegen.
  14. Verfahren nach einem der Ansprüche 11 bis 13, wobei es sich bei der Siliciumschicht um eine Silicium-auf-Isolator(SOI)-Schicht handelt.
  15. Verfahren zum Fertigen einer Transistoreinheit, das aufweist: Durchführen einer Wannenimplantation in eine Fläche einer Siliciumschicht, um eine implantierte Wanne auszubilden; Tempern der implantierten Wanne; und Implantieren von Kohlenstoff in die getemperte Wanne, wobei der Kohlenstoff vor einem Ausbilden einer Grenzschicht auf der Fläche der Siliciumschicht implantiert wird und wobei eine resultierende Transistoreinheit eine Schwellenspannung aufweist, die im Vergleich mit einer Wanne ohne Kohlenstoffimplantation um einen Betrag gesenkt ist, der zumindest durch die Kohlenstoffkonzentration in der implantierten Wanne bestimmt wird.
DE112012004134.8T 2011-10-27 2012-10-26 Verfahren zum Fertigen einer Transistoreinheit Active DE112012004134B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/282,619 2011-10-27
US13/282,619 US9040399B2 (en) 2011-10-27 2011-10-27 Threshold voltage adjustment for thin body MOSFETs
PCT/US2012/062048 WO2013063351A1 (en) 2011-10-27 2012-10-26 Threshold voltage adjustment for thin body mosfets

Publications (2)

Publication Number Publication Date
DE112012004134T5 true DE112012004134T5 (de) 2014-06-26
DE112012004134B4 DE112012004134B4 (de) 2017-09-07

Family

ID=48168526

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112012004134.8T Active DE112012004134B4 (de) 2011-10-27 2012-10-26 Verfahren zum Fertigen einer Transistoreinheit

Country Status (4)

Country Link
US (2) US9040399B2 (de)
CN (1) CN103930998B (de)
DE (1) DE112012004134B4 (de)
WO (1) WO2013063351A1 (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102779753B (zh) * 2011-05-12 2015-05-06 中芯国际集成电路制造(上海)有限公司 半导体器件制造方法
US9397098B2 (en) 2012-03-08 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8779517B2 (en) 2012-03-08 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8766319B2 (en) * 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US9564367B2 (en) * 2012-09-13 2017-02-07 Globalfoundries Inc. Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
US8815661B1 (en) * 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
CN104952783A (zh) * 2014-03-31 2015-09-30 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构、其制作方法及包括其的半导体器件
US9379185B2 (en) 2014-04-24 2016-06-28 International Business Machines Corporation Method of forming channel region dopant control in fin field effect transistor
US9349649B2 (en) * 2014-06-26 2016-05-24 Globalfoundries Inc. Low resistance and defect free epitaxial semiconductor material for providing merged FinFETs
CN105826372B (zh) * 2015-01-06 2018-12-21 中芯国际集成电路制造(上海)有限公司 FinFET器件及其形成方法
US9735275B2 (en) 2015-12-18 2017-08-15 International Business Machines Corporation Channel replacement and bimodal doping scheme for bulk finFET threshold voltage modulation with reduced performance penalty
US10115808B2 (en) * 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
CN108122976B (zh) * 2016-11-29 2020-11-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、以及sram
KR102295721B1 (ko) * 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10910216B2 (en) 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
US11264289B2 (en) * 2019-07-11 2022-03-01 Tokyo Electron Limited Method for threshold voltage tuning through selective deposition of high-K metal gate (HKMG) film stacks
CN111898334B (zh) * 2020-08-04 2022-02-01 深圳比特微电子科技有限公司 用于系统级芯片设计的标准单元及应用其的数据处理单元、运算芯片和计算设备

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
JP4014677B2 (ja) 1996-08-13 2007-11-28 株式会社半導体エネルギー研究所 絶縁ゲイト型半導体装置
US6455385B1 (en) * 1998-01-07 2002-09-24 Advanced Micro Devices, Inc. Semiconductor fabrication with multiple low dose implant
DE10012112C2 (de) 2000-03-13 2002-01-10 Infineon Technologies Ag Steg-Feldeffekttransistor und Verfahren zum Herstellen eines Steg-Feldeffekttransistors
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
EP1519421A1 (de) * 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Halbleiterbauelement mit mehrfachem Gate und diesbezügliches Herstellungsverfahren
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US20060068556A1 (en) 2004-09-27 2006-03-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7186662B2 (en) 2004-10-13 2007-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a hard mask for gate electrode patterning and corresponding device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US20070077739A1 (en) 2005-09-30 2007-04-05 Weber Cory E Carbon controlled fixed charge process
DE102006019921B4 (de) * 2006-04-28 2010-10-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung des Transistors mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode
DE102006019835B4 (de) 2006-04-28 2011-05-12 Advanced Micro Devices, Inc., Sunnyvale Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
US20080111185A1 (en) * 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US7915681B2 (en) * 2007-06-18 2011-03-29 Infineon Technologies Ag Transistor with reduced charge carrier mobility
US7910446B2 (en) 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US8039376B2 (en) * 2007-11-14 2011-10-18 International Business Machines Corporation Methods of changing threshold voltages of semiconductor transistors by ion implantation
US7736983B2 (en) * 2008-01-10 2010-06-15 Texas Instruments Incorporated High threshold NMOS source-drain formation with As, P and C to reduce damage
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8193080B2 (en) 2009-07-27 2012-06-05 Panasonic Corporation Method for fabricating semiconductor device and plasma doping system
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
DE102010040064B4 (de) * 2010-08-31 2012-04-05 Globalfoundries Inc. Verringerte Schwellwertspannungs-Breitenabhängigkeit in Transistoren, die Metallgateelektrodenstrukturen mit großem ε aufweisen

Also Published As

Publication number Publication date
CN103930998B (zh) 2017-06-09
WO2013063351A1 (en) 2013-05-02
US20130105896A1 (en) 2013-05-02
CN103930998A (zh) 2014-07-16
US9040399B2 (en) 2015-05-26
US20130105894A1 (en) 2013-05-02
DE112012004134B4 (de) 2017-09-07

Similar Documents

Publication Publication Date Title
DE112012004134B4 (de) Verfahren zum Fertigen einer Transistoreinheit
DE102014115586B4 (de) Integrierte Schaltkreisstruktur mit Substratisolation und undotiertem Kanal
DE112005001488B4 (de) Tri-Gate Bauelement mit hoher Beweglichkeit und dessen Herstellungsverfahren
DE112012003231B4 (de) Halbleiterstruktur und verfahren zu deren herstellung
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017126416A1 (de) FET mit negativer Kapazität mit verbessertem Zuverlässigkeitsverhalten
DE102012214072B3 (de) Halbleitervorrichtung mit erhöhten Source- und Drainbereichen
DE112011101433B4 (de) Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
DE102012218580B4 (de) Kohlenstoffimplantation zur Anpassung der Austrittsarbeit bei einem Ersatzgate-Transistor
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102005009976A1 (de) Transistor mit Dotierstoff tragendem Metall im Source- und Drainbereich
DE102019125773B4 (de) Verfahren zur herstellung von halbleitervorrichtungen und halbleitervorrichtungen
DE102017117811A1 (de) Logikzellenstruktur und Verfahren
DE102015106047A1 (de) Struktur und Verfahren zum Ausbilden eines Halbleiterbauelements mit einem Gatestapel
DE102009006886A1 (de) Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
DE102019215248B4 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten sowie verfahren zu seiner herstellung
DE102007039440A1 (de) Halbleiterbauelemente und Verfahren zu deren Herstellung
DE102016105520A1 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE102021102419A1 (de) Verfahren zur herstellung von halbleitervorrichtungen und deren strukturen
DE102007041206B4 (de) Halbleiterbauelement und Verfahren zum selbstjustierten Entfernen eines high-k Gatedielektrikums über einem STI-Gebiet
DE102018106266A1 (de) Gate-struktur und verfahren zu ihrer herstellung
DE102017116224A1 (de) Metall-Gate-Struktur und zugehörige Verfahren
DE102016113819A1 (de) Halbleiter-Bauelement und Verfahren zu seiner Herstellung
DE102018106581B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029786000

Ipc: H01L0021336000

R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final