US20130105896A1 - Threshold Voltage Adjustment For Thin Body Mosfets - Google Patents

Threshold Voltage Adjustment For Thin Body Mosfets Download PDF

Info

Publication number
US20130105896A1
US20130105896A1 US13/625,350 US201213625350A US2013105896A1 US 20130105896 A1 US20130105896 A1 US 20130105896A1 US 201213625350 A US201213625350 A US 201213625350A US 2013105896 A1 US2013105896 A1 US 2013105896A1
Authority
US
United States
Prior art keywords
carbon
fin
silicon
layer
concentration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/625,350
Inventor
MaryJane Brodsky
Ming Cai
Dechao Guo
William K. Henson
Shreesh Narasimha
Yue Liang
Liyang Song
Yanfeng Wang
Chun-Chen Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/625,350 priority Critical patent/US20130105896A1/en
Publication of US20130105896A1 publication Critical patent/US20130105896A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Definitions

  • the exemplary embodiments of this invention relate generally to semiconductor devices, transistors, field effect transistors (FETs), FinFETs and multi-gate FETs in general, and further relate to the implanting of Carbon (C) ions into semiconductor devices.
  • FETs field effect transistors
  • FinFETs FinFETs
  • multi-gate FETs in general, and further relate to the implanting of Carbon (C) ions into semiconductor devices.
  • Vt threshold voltage
  • FinFETs and multi-gate, e.g., tri-gate FETS due to the limited volume of the substrate that is available conventional dopant approaches to adjusting the threshold voltage are not effective to provide, on the same substrate, transistors with different threshold voltages.
  • the exemplary embodiments of this invention provide a structure that comprises a substrate; a transistor disposed over the substrate, the transistor comprising a fin comprised of Silicon that is implanted with Carbon; and a gate dielectric layer and gate metal layer overlying a portion of the fin that defines a channel of the transistor.
  • a concentration of Carbon within the fin is selected to establish a desired voltage threshold of the transistor.
  • the exemplary embodiments of this invention provide a structure that comprises a substrate; a first FinFET disposed over the substrate, the first FinFET comprising a first fin comprised of Silicon that is implanted with Carbon having a first concentration, a gate dielectric layer and gate metal layer overlying a portion of the first fin that defines a channel of the first FinFET; and a second FinFET disposed over the substrate, the second FinFET comprising a second fin comprised of Silicon that is implanted with Carbon having a second concentration, a gate dielectric layer and gate metal layer overlying a portion of the second fin that defines a channel of the second FinFET.
  • the first FinFET has a first voltage threshold and the second FinFET has a second voltage threshold that differs from the first voltage threshold by an amount related to a difference between the first Carbon concentration and the second Carbon concentration.
  • FIGS. 1A-1E collectively referred to as FIG. 1 , show an example of a process flow in accordance with an embodiment of this invention, where
  • FIG. 1A is an enlarged cross-sectional view, not drawn to scale, of a portion of a starting semiconductor structure that includes a substrate, a buried oxide (BOX) layer, an overlying layer of Silicon (SOI) and a hardmask embodied as a screen oxide layer;
  • BOX buried oxide
  • SOI overlying layer of Silicon
  • FIG. 1B shows a result of selectively applying a photoresist mask to the surface of the screen oxide layer so as to define at least one area (corresponding tout least one volume in the underlying SOI to be implanted with Carbon at a first implant density;
  • FIG. 1C shows that the photoresist mask regions are removed after the first Carbon implant
  • FIG. 1D shows a result of selectively reapplying the photoresist mask to the surface of the screen oxide layer so as to define an area (and at least one corresponding volume in the underlying SOI) to be implanted with Carbon at a second implant density;
  • FIG. 1E shows that the photoresist mask regions are removed after the second Carbon implant.
  • FIGS. 2A-2H collectively referred to as FIG. 2 , illustrate another exemplary method to fabricate a FinFET device, where
  • FIG. 2A shows a hardmask layer formed on a substrate and a polysilicon structure
  • FIG. 2B shows silicon nitride (SiN) structures are formed along the sides of the polysilicon structure
  • FIG. 2C shows a result of etching to form fin structures
  • FIG. 2D shows a result of additional etching and gate stack and SiN layer formation perpendicular to the fin structures
  • FIG. 2E shows a result of removal of portions of the hardmask layer and the SiN layer, and formation of SiN spacers along the gate stack;
  • FIG. 2F shows an epitaxial silicon (Epi Si) layer deposited over the fin structures
  • FIG. 2G illustrates a cross sectional view of an angled ion implant procedure that forms source and drain regions
  • FIG. 2H shows a silicide layer formed on the Epi Si layer and over the gate stack.
  • FIG. 3 is an enlarged elevation view (not to scale) that schematically shows a non-limiting example of a plurality of FinFETs where, in accordance with the exemplary embodiments of this invention, each of the fins has a different carbon dose (dose A, dose B, dose C, dose D) and thus each exhibits a different value of Vt.
  • FIG. 4 is a plot of reverse bias body effect versus Vt saturation (Vtsat), where the solid circles correspond to Carbon doping and the empty circles correspond to no Carbon doping.
  • FIG. 5 shows another embodiment of a process in accordance with the exemplary embodiments of this invention where Carbon is implanted into the fins after the fins are defined.
  • FIGS. 6A-6G depict preliminary processing steps for forming a HKMG nFET in accordance with an embodiments of this invention, where:
  • FIG. 6A shows a SOI layer having an overlying layer of pad oxide
  • FIG. 6B shows a conventional well implant into the SOI layer
  • FIG. 6C shows a well implant anneal
  • FIG. 6D shows application of a hardmask and a thermal oxidation process
  • FIGS. 6E and 6F show a result of patterning and hardmask stripping
  • FIG. 6G shows a Carbon implant step that is carried out prior to interfacial layer processing.
  • the threshold voltage of a thin body transistor is selectively adjusted in order to provide on the same substrate transistors with different Vts.
  • a Carbon implant is used to adjust the transistor threshold voltages.
  • By introducing Carbon with different doses transistors with different Vt are provided on the same substrate. Described below is an exemplary process flow that uses a method to adjust the threshold voltages.
  • FIG. 1A is an enlarged cross-sectional view, not drawn to scale, of a portion of a semiconductor structure that includes a substrate 10 , a buried oxide (BOX) layer 12 , an overlying layer of Silicon 14 (silicon-on-insulator SOI) and a hardmask embodied as a screen oxide layer 16 .
  • the substrate 10 can have any desired thickness
  • the BOX layer 12 can also have any desired thickness (e.g., in a range of 50 nm or less to 200 nm or greater)
  • the Silicon layer 14 may have a thickness in a range of, as one non-limiting example, about 20 nm to about 30 nm.
  • the screen oxide layer 16 can be comprised of, for example, SiO 2 and can have a thickness of about 2 nm and greater.
  • the screen oxide layer can be formed on top of the Silicon (SOI) layer 14 by using, for example a low temperature deposition process.
  • a purpose of the screen oxide layer 16 is to protect the surface of the Silicon layer 14 during subsequent Carbon ion implanting steps.
  • FIG. 1B shows a result of selectively applying a photoresist mask 18 to the surface of the screen oxide layer 16 so as to define at least one area (corresponding to at least one volume in the underlying Silicon layer 14 ) to be implanted with Carbon at a first implant density.
  • the photoresist mask is shown partitioned into two regions 18 A, 18 B.
  • the photoresist regions 18 A, 18 B block the Carbon ions from reaching the screen oxide layer 16 and the Silicon layer 14 .
  • multiple Carbon implants can be performed using different energies, such as 8 keV (deep implant) and 4 keV (shallow implant), with a dose in a range of, for example, about 1 ⁇ 10 14 to about 2 ⁇ 10 15 atoms/cm 2 .
  • the goal is to substantially uniformly dope the unmasked volume of the Silicon Layer 14 with Carbon at a desired dopant concentration (indicated as C dose1 in FIG. 1B ) such that the Carbon concentration is substantially uniform throughout the thickness of the Silicon layer 14 .
  • Multiple Carbon implants with different energies can be used to achieve the substantially uniform Carbon doping profile.
  • FIG. 1C shows that the photoresist regions 18 A and 18 B are removed (stripped) using any suitable photoresist removal process.
  • FIG. 1D shows a result of selectively reapplying the photoresist mask 18 to the surface of the screen oxide layer 16 so as to define an area (and at least one corresponding volume in the underlying Silicon layer 14 ) to be implanted with Carbon at a second implant density.
  • the photoresist mask is shown as a single region 18 C.
  • the Carbon implant is applied the photoresist region 18 C blocks the Carbon ions from reaching the screen oxide layer 16 and the Silicon layer 14 .
  • the goal is once again to substantially uniformly dope the unmasked volume of the Silicon Layer 14 with Carbon at a desired dopant concentration (indicated as C dose2 in FIG. 1C ) such that the Carbon concentration is substantially uniform throughout the thickness of the Silicon layer 14 .
  • FIG. 1E shows that the photoresist region 1 C is removed (stripped) using any suitable photoresist removal process.
  • FIGS. 1B-1E can be repeated multiple times to achieve the selective doping of different volumes of the Silicon layer 14 with different concentrations of Carbon.
  • the screen oxide layer 16 is stripped and conventional FinFET processing can be performed to define a plurality of FinFETs in the Silicon layer 14 , where different FinFETs contain different Carbon concentrations for producing FinFETs with different values of Vt.
  • FIGS. 2A-2H provide an overview of but one exemplary and non-limiting technique to fabricate a FinFET, as described with respect to an embodiment shown in commonly assigned US Patent Application Publication US 2011/0065244 A1, “Asymmetric FINFET Device with Improved Parasitic Resistance and Capacitance”, Josephine B. Chang, Leland Chang, Chung-Hsun Lin and Jeffery W. Sleight.
  • a silicon dioxide (SiO 2 ) (or Silicon Nitride (SiN)) hardmask layer 104 is formed on a substrate.
  • the substrate can be a silicon-on-insulator (SOI) layer 111 that corresponds to the selectively Carbon-doped Silicon layer 14 depicted in FIGS. 1A-1E .
  • a polysilicon structure 204 is formed on the hardmask layer 104 by deposition and etching processes.
  • silicon nitride (SiN) structures 206 are formed along the sides of the polysilicon structure 204 using deposition and etching processes. In FIG.
  • the polysilicon structure 204 and portions of the hardmask layer 104 and the SOI layer 111 are etched to form fin structures 208 .
  • the illustrated two fin structures 208 are exemplary, as more or less than two fin structures can be formed.
  • the SiN structures 206 are etched, and a gate stack portion 102 and a SiN layer 210 is formed perpendicular to the fin structures 208 .
  • portions of the hardmask layer 104 and the SiN layer 210 are removed, and SiN spacers 106 are formed along the gate stack portion 102 .
  • an epitaxial silicon (Epi Si) layer 214 is deposited over the remaining fin structures 205 for merging the fin structures.
  • FIG. 2G illustrates a cross sectional view an ion implant that forms the source 108 and drain 110 regions in the SOI layer 111 .
  • the ions 203 are implanted at an angle (theta) from a line perpendicular to the source region 108 .
  • the gate stack portion 102 and the spacers 106 partially block some of the ions 203 from being deposited at a great concentration in the portion 212 of the SOI layer 111 , and the implant at the oblique angle (theta) results in an overlapped source region 108 and an offset drain region 110 .
  • the implant angle may range from 0-90 degrees, and any additional ranges between 0-90 degrees.
  • An exemplary implant angle of 20-30 degrees is shown in the illustrated embodiments.
  • a silicide layer 216 is formed on the Epi Si layer 214 and over the gate stack portion 102 .
  • FIG. 3 schematically shows a non-limiting example of a plurality of FinFETs 300 A, 300 B, 300 C and 300 D composed of fins 301 A, 301 B, 301 C and 301 D, respectively, that have a common gate insulator layer 302 composed of, for example, high dielectric constant (high-k) material and common gate metalization 304 .
  • the thickness of the gate metal layer 304 is not drawn to scale.
  • each of the fins 301 has a different carbon dose (dose A, dose B, dose C, dose D) and thus each exhibits a different value of Vt.
  • the different Carbon doses can be achieved by using the process flow shown in FIGS.
  • each Silicon fin 301 there is a hardmask layer 303 , such as one composed of SiN.
  • each fin 301 can have a width of about 10 nm or less and a height in a range of about 20 nm to about 30 nm (i.e., a height that is about equal to the thickness of the Silicon layer 14 ).
  • the high dielectric constant (high-k) dielectric layer 302 is formed over the fins 301 .
  • the high-k dielectric layer 302 comprises a high dielectric constant (high-k) material comprising a dielectric metal oxide and having a dielectric constant that is greater than the dielectric constant of silicon nitride of 7.5.
  • the high-k dielectric layer 302 may be formed by methods well known in the art including, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), molecular beam deposition (MBD), pulsed laser deposition (PLD), liquid source misted chemical deposition (LSMCD), etc.
  • the dielectric metal oxide comprises a metal and oxygen, and optionally nitrogen and/or silicon.
  • Exemplary high-k dielectric materials include HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y , a silicate thereof, and an alloy thereof.
  • Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2.
  • the thickness of the high-k dielectric layer 302 may be from 1 nm to 10 nm, and more preferably from about 1.5 nm to about 3 nm.
  • the high-k dielectric layer 30 can have an effective oxide thickness (EOT) on the order of, or less than, about 1 nm.
  • the gate metal 304 can be deposited directly on the top surface of the high-k dielectric layer 302 by, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD).
  • the gate metal 304 can include a metal system selected from TiN, TiC, TaN, TaC, TaSiN, HfN, W, Al and Ru.
  • FIG. 4 plots reverse bias body effect versus Vt saturation (Vtsat), where the solid circles correspond to Carbon doping and the empty circles correspond to no Carbon doping.
  • Vtsat Vt saturation
  • This example assumes an nFET having a channel doped with Boron (10 18 atoms/cm 3 ) for regular Vt (RVT), high Vt (HVT) and super high Vt (SVT) cases. Note the significant reduction in Vt exhibited by the Carbon-doped devices as compared to the devices that are not doped with Carbon.
  • Carbon is implanted for Vt reduction in partially depleted SOI. If the Vt adjustment is through the workfunction, the body effect is kept unchanged. If the Vt adjustment is through the well dopant, the body effect should be increased. By introducing Carbon, the Vt is adjusted but the body effect does not change. Therefore it can be concluded that the Vt shift achieved by the Carbon doping is a result of the modulation of the effective workfunction.
  • FIG. 5 shows another embodiment of a process in accordance with the exemplary embodiments of this invention.
  • FIG. 5 differs from FIG. 1 in that the Carbon is implanted after the fins are defined and prior to application of the high-k gate insulator and gate metal layers.
  • FIG. 5 shows an exemplary case of three adjacent fins 500 A, 500 B and 500 C, where the middle-most fin 500 B is being implanted with Carbon and the outer-most fins 500 A and 500 C have been covered by a mask 502 (e.g., screen oxide SiO 2 ).
  • the implant angle ⁇ is predetermined based on fin height and spacing to avoid shadowing effects so that the entire volume of the fin 500 B is substantially equally doped with Carbon.
  • Either the substrate or the ion source can be rotated so that both major vertical surfaces of the fin 500 B can be implanted with Carbon.
  • the mask 502 is stripped and selectively reapplied as needed to implant the next fin or fins.
  • the Carbon implant density is adjusted as needed to achieve the desired value of Vt for the resulting FinFET.
  • the exemplary embodiments of this invention have been described thus far in the context of the fabrication of FinFETs and multi-gate transistors. However, the embodiments of this invention also encompass planar transistor devices, such as those fabricated using partially depleted SOI (PDSOI).
  • PDSOI partially depleted SOI
  • HKMG high-k metal gate
  • the exemplary embodiments of this invention also provide a technique to reduce HKMG nFET Vt, without degrading electron mobility, by the use of a Carbon implant.
  • FIG. 6 shows preliminary processing steps for forming a HKMG nFET.
  • a HKMG pFET can be formed as well.
  • FIG. 6A shows a SOI layer 600 having an overlying layer of pad oxide 602 .
  • FIG. 6B shows a conventional well implant into the SOI layer 600 .
  • FIG. 6C shows a well implant anneal (rapid thermal anneal (RTA)) which can be carried out at about 1000° C. for several seconds (e.g., 5 seconds).
  • RTA rapid thermal anneal
  • FIG. 6D shows application of a hardmask 604 and a thermal oxidation process.
  • FIGS. 6E and 6F show a result of patterning 606 and hardmask stripping.
  • FIG. 6G shows a Carbon implant step (masks can be applied if needed).
  • the Carbon implant can be a low energy implant as it is not necessary to implant the Carbon through the entire thickness of the SOI layer 600 .
  • a subsequent step involves
  • the Carbon implant step of FIG. 6G is performed after the well implant ( FIG. 6B ) and after the well RTA ( FIG. 6C ) and prior to interfacial layer processing.
  • the Carbon implant is performed to adjust the Vt of the subsequently formed HKMG transistor to a desired point, in a manner similar to that described about for the FinFET embodiments.
  • the exemplary embodiments of this invention can be used to fabricate integrated circuit chips that can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

Abstract

A structure includes a substrate; a transistor disposed over the substrate, the transistor comprising a fin comprised of Silicon that is implanted with Carbon; and a gate dielectric layer and gate metal layer overlying a portion of the fin that defines a channel of the transistor. In the structure a concentration of Carbon within the fin is selected to establish a desired voltage threshold of the transistor.

Description

    CROSS-REFERENCE TO A RELATED PATENT APPLICATION
  • This patent application is a continuation patent application of copending U.S. patent application Ser. No. 13/282,619, filed Oct. 27, 2011, the disclosure of which is incorporated by reference herein in its entirety.
  • TECHNICAL FIELD
  • The exemplary embodiments of this invention relate generally to semiconductor devices, transistors, field effect transistors (FETs), FinFETs and multi-gate FETs in general, and further relate to the implanting of Carbon (C) ions into semiconductor devices.
  • BACKGROUND
  • It is desirable to achieve a low threshold voltage (Vt) for transistors that operate with a scaled (reduced) value of Vdd. In thin body transistors, such as those known for example as FinFETs and multi-gate, e.g., tri-gate FETS, due to the limited volume of the substrate that is available conventional dopant approaches to adjusting the threshold voltage are not effective to provide, on the same substrate, transistors with different threshold voltages.
  • SUMMARY
  • In a first aspect thereof the exemplary embodiments of this invention provide a structure that comprises a substrate; a transistor disposed over the substrate, the transistor comprising a fin comprised of Silicon that is implanted with Carbon; and a gate dielectric layer and gate metal layer overlying a portion of the fin that defines a channel of the transistor. In the structure a concentration of Carbon within the fin is selected to establish a desired voltage threshold of the transistor.
  • In another aspect thereof the exemplary embodiments of this invention provide a structure that comprises a substrate; a first FinFET disposed over the substrate, the first FinFET comprising a first fin comprised of Silicon that is implanted with Carbon having a first concentration, a gate dielectric layer and gate metal layer overlying a portion of the first fin that defines a channel of the first FinFET; and a second FinFET disposed over the substrate, the second FinFET comprising a second fin comprised of Silicon that is implanted with Carbon having a second concentration, a gate dielectric layer and gate metal layer overlying a portion of the second fin that defines a channel of the second FinFET. In the structure the first FinFET has a first voltage threshold and the second FinFET has a second voltage threshold that differs from the first voltage threshold by an amount related to a difference between the first Carbon concentration and the second Carbon concentration.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • FIGS. 1A-1E, collectively referred to as FIG. 1, show an example of a process flow in accordance with an embodiment of this invention, where
  • FIG. 1A is an enlarged cross-sectional view, not drawn to scale, of a portion of a starting semiconductor structure that includes a substrate, a buried oxide (BOX) layer, an overlying layer of Silicon (SOI) and a hardmask embodied as a screen oxide layer;
  • FIG. 1B shows a result of selectively applying a photoresist mask to the surface of the screen oxide layer so as to define at least one area (corresponding tout least one volume in the underlying SOI to be implanted with Carbon at a first implant density;
  • FIG. 1C shows that the photoresist mask regions are removed after the first Carbon implant;
  • FIG. 1D shows a result of selectively reapplying the photoresist mask to the surface of the screen oxide layer so as to define an area (and at least one corresponding volume in the underlying SOI) to be implanted with Carbon at a second implant density;
  • FIG. 1E shows that the photoresist mask regions are removed after the second Carbon implant.
  • FIGS. 2A-2H, collectively referred to as FIG. 2, illustrate another exemplary method to fabricate a FinFET device, where
  • FIG. 2A shows a hardmask layer formed on a substrate and a polysilicon structure;
  • FIG. 2B shows silicon nitride (SiN) structures are formed along the sides of the polysilicon structure;
  • FIG. 2C shows a result of etching to form fin structures;
  • FIG. 2D shows a result of additional etching and gate stack and SiN layer formation perpendicular to the fin structures;
  • FIG. 2E shows a result of removal of portions of the hardmask layer and the SiN layer, and formation of SiN spacers along the gate stack;
  • FIG. 2F shows an epitaxial silicon (Epi Si) layer deposited over the fin structures;
  • FIG. 2G illustrates a cross sectional view of an angled ion implant procedure that forms source and drain regions; and
  • FIG. 2H shows a silicide layer formed on the Epi Si layer and over the gate stack.
  • FIG. 3 is an enlarged elevation view (not to scale) that schematically shows a non-limiting example of a plurality of FinFETs where, in accordance with the exemplary embodiments of this invention, each of the fins has a different carbon dose (dose A, dose B, dose C, dose D) and thus each exhibits a different value of Vt.
  • FIG. 4 is a plot of reverse bias body effect versus Vt saturation (Vtsat), where the solid circles correspond to Carbon doping and the empty circles correspond to no Carbon doping.
  • FIG. 5 shows another embodiment of a process in accordance with the exemplary embodiments of this invention where Carbon is implanted into the fins after the fins are defined.
  • FIGS. 6A-6G, collectively referred to as FIG. 6, depict preliminary processing steps for forming a HKMG nFET in accordance with an embodiments of this invention, where:
  • FIG. 6A shows a SOI layer having an overlying layer of pad oxide;
  • FIG. 6B shows a conventional well implant into the SOI layer;
  • FIG. 6C shows a well implant anneal;
  • FIG. 6D shows application of a hardmask and a thermal oxidation process;
  • FIGS. 6E and 6F show a result of patterning and hardmask stripping; and
  • FIG. 6G shows a Carbon implant step that is carried out prior to interfacial layer processing.
  • DETAILED DESCRIPTION
  • In accordance with the exemplary embodiments of this invention the threshold voltage of a thin body transistor is selectively adjusted in order to provide on the same substrate transistors with different Vts. During thin body transistor fabrication a Carbon implant is used to adjust the transistor threshold voltages. By introducing Carbon with different doses transistors with different Vt are provided on the same substrate. Described below is an exemplary process flow that uses a method to adjust the threshold voltages.
  • FIG. 1A is an enlarged cross-sectional view, not drawn to scale, of a portion of a semiconductor structure that includes a substrate 10, a buried oxide (BOX) layer 12, an overlying layer of Silicon 14 (silicon-on-insulator SOI) and a hardmask embodied as a screen oxide layer 16. The substrate 10 can have any desired thickness, the BOX layer 12 can also have any desired thickness (e.g., in a range of 50 nm or less to 200 nm or greater), and the Silicon layer 14 may have a thickness in a range of, as one non-limiting example, about 20 nm to about 30 nm. The screen oxide layer 16 can be comprised of, for example, SiO2 and can have a thickness of about 2 nm and greater. The screen oxide layer can be formed on top of the Silicon (SOI) layer 14 by using, for example a low temperature deposition process. A purpose of the screen oxide layer 16 is to protect the surface of the Silicon layer 14 during subsequent Carbon ion implanting steps.
  • FIG. 1B shows a result of selectively applying a photoresist mask 18 to the surface of the screen oxide layer 16 so as to define at least one area (corresponding to at least one volume in the underlying Silicon layer 14) to be implanted with Carbon at a first implant density. In FIG. 1B the photoresist mask is shown partitioned into two regions 18A, 18B. When the Carbon implant is applied the photoresist regions 18A, 18B block the Carbon ions from reaching the screen oxide layer 16 and the Silicon layer 14.
  • During the Carbon implant step, and assuming the non-limiting case of a 2 nm thick screen oxide layer 16 and a SOI layer 14 having a thickness in the range of about 20 nm to about 30 nm, multiple Carbon implants can be performed using different energies, such as 8 keV (deep implant) and 4 keV (shallow implant), with a dose in a range of, for example, about 1×1014 to about 2×1015 atoms/cm2. The goal is to substantially uniformly dope the unmasked volume of the Silicon Layer 14 with Carbon at a desired dopant concentration (indicated as Cdose1 in FIG. 1B) such that the Carbon concentration is substantially uniform throughout the thickness of the Silicon layer 14. Multiple Carbon implants with different energies can be used to achieve the substantially uniform Carbon doping profile.
  • FIG. 1C shows that the photoresist regions 18A and 18B are removed (stripped) using any suitable photoresist removal process.
  • FIG. 1D shows a result of selectively reapplying the photoresist mask 18 to the surface of the screen oxide layer 16 so as to define an area (and at least one corresponding volume in the underlying Silicon layer 14) to be implanted with Carbon at a second implant density. In the example of FIG. 1D the photoresist mask is shown as a single region 18C. When the Carbon implant is applied the photoresist region 18C blocks the Carbon ions from reaching the screen oxide layer 16 and the Silicon layer 14. The goal is once again to substantially uniformly dope the unmasked volume of the Silicon Layer 14 with Carbon at a desired dopant concentration (indicated as Cdose2 in FIG. 1C) such that the Carbon concentration is substantially uniform throughout the thickness of the Silicon layer 14. Multiple Carbon implants with different energies can be used to achieve the substantially uniform Carbon doping profile. It can be assumed that Cdose1 and Cdose2 are different so that resulting FETs (FinFETs in this case) that are subsequently formed from the Silicon layer 14 have different values of Vt.
  • FIG. 1E shows that the photoresist region 1C is removed (stripped) using any suitable photoresist removal process.
  • The processes depicted in FIGS. 1B-1E can be repeated multiple times to achieve the selective doping of different volumes of the Silicon layer 14 with different concentrations of Carbon. When the Carbon implant steps are completed the screen oxide layer 16 is stripped and conventional FinFET processing can be performed to define a plurality of FinFETs in the Silicon layer 14, where different FinFETs contain different Carbon concentrations for producing FinFETs with different values of Vt.
  • FIGS. 2A-2H provide an overview of but one exemplary and non-limiting technique to fabricate a FinFET, as described with respect to an embodiment shown in commonly assigned US Patent Application Publication US 2011/0065244 A1, “Asymmetric FINFET Device with Improved Parasitic Resistance and Capacitance”, Josephine B. Chang, Leland Chang, Chung-Hsun Lin and Jeffery W. Sleight.
  • Referring to FIG. 2A, a silicon dioxide (SiO2) (or Silicon Nitride (SiN)) hardmask layer 104 is formed on a substrate. In the illustrated embodiment the substrate can be a silicon-on-insulator (SOI) layer 111 that corresponds to the selectively Carbon-doped Silicon layer 14 depicted in FIGS. 1A-1E. A polysilicon structure 204 is formed on the hardmask layer 104 by deposition and etching processes. Referring to FIG. 2B, silicon nitride (SiN) structures 206 are formed along the sides of the polysilicon structure 204 using deposition and etching processes. In FIG. 2C, the polysilicon structure 204 and portions of the hardmask layer 104 and the SOI layer 111 are etched to form fin structures 208. The illustrated two fin structures 208 are exemplary, as more or less than two fin structures can be formed. In FIG. 2D, the SiN structures 206 are etched, and a gate stack portion 102 and a SiN layer 210 is formed perpendicular to the fin structures 208. Referring to FIG. 2E, portions of the hardmask layer 104 and the SiN layer 210 are removed, and SiN spacers 106 are formed along the gate stack portion 102. In FIG. 2F an epitaxial silicon (Epi Si) layer 214 is deposited over the remaining fin structures 205 for merging the fin structures. FIG. 2G illustrates a cross sectional view an ion implant that forms the source 108 and drain 110 regions in the SOI layer 111. In the illustrated non-limiting embodiment the ions 203 are implanted at an angle (theta) from a line perpendicular to the source region 108. The gate stack portion 102 and the spacers 106 partially block some of the ions 203 from being deposited at a great concentration in the portion 212 of the SOI layer 111, and the implant at the oblique angle (theta) results in an overlapped source region 108 and an offset drain region 110. The implant angle may range from 0-90 degrees, and any additional ranges between 0-90 degrees. An exemplary implant angle of 20-30 degrees is shown in the illustrated embodiments. Referring to FIG. 2H, a silicide layer 216, is formed on the Epi Si layer 214 and over the gate stack portion 102.
  • FIG. 3 schematically shows a non-limiting example of a plurality of FinFETs 300A, 300B, 300C and 300D composed of fins 301A, 301B, 301C and 301D, respectively, that have a common gate insulator layer 302 composed of, for example, high dielectric constant (high-k) material and common gate metalization 304. In FIG. 3 the thickness of the gate metal layer 304 is not drawn to scale. In accordance with the exemplary embodiments of this invention each of the fins 301 has a different carbon dose (dose A, dose B, dose C, dose D) and thus each exhibits a different value of Vt. The different Carbon doses can be achieved by using the process flow shown in FIGS. 1A-1E, that is, by selectively masking different regions of the Silicon layer 14 prior to implanting Carbon. During the FinFET processing (e.g., as shown in FIGS. 2A-2H) the differently Carbon doped Silicon regions are incorporated into different ones of the fins 301 and thus into the resulting different ones of the FinFETs 300. As is shown, on each Silicon fin 301 there is a hardmask layer 303, such as one composed of SiN.
  • As non-limiting examples each fin 301 can have a width of about 10 nm or less and a height in a range of about 20 nm to about 30 nm (i.e., a height that is about equal to the thickness of the Silicon layer 14). The high dielectric constant (high-k) dielectric layer 302 is formed over the fins 301. The high-k dielectric layer 302 comprises a high dielectric constant (high-k) material comprising a dielectric metal oxide and having a dielectric constant that is greater than the dielectric constant of silicon nitride of 7.5. The high-k dielectric layer 302 may be formed by methods well known in the art including, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), molecular beam deposition (MBD), pulsed laser deposition (PLD), liquid source misted chemical deposition (LSMCD), etc. The dielectric metal oxide comprises a metal and oxygen, and optionally nitrogen and/or silicon. Exemplary high-k dielectric materials include HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, a silicate thereof, and an alloy thereof. Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2. The thickness of the high-k dielectric layer 302 may be from 1 nm to 10 nm, and more preferably from about 1.5 nm to about 3 nm. The high-k dielectric layer 30 can have an effective oxide thickness (EOT) on the order of, or less than, about 1 nm. The gate metal 304 can be deposited directly on the top surface of the high-k dielectric layer 302 by, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD). As non-limiting examples the gate metal 304 can include a metal system selected from TiN, TiC, TaN, TaC, TaSiN, HfN, W, Al and Ru.
  • It has been found that for a range of Carbon implant doses that result in Carbon concentrations from about 2×1014 to about 5×1015 atoms/cm3 that the Vt can be reduced by as much as 200 mV as compared to Silicon that has not been implanted with Carbon. It has also been found that the drain induced barrier lowering (DIBL) remains relatively constant for a range of gate lengths from about 0.025 to about 0.035 micrometers, indicating that the Carbon implant does not result in any appreciable short channel effect (SCE) degradation.
  • FIG. 4 plots reverse bias body effect versus Vt saturation (Vtsat), where the solid circles correspond to Carbon doping and the empty circles correspond to no Carbon doping. This example assumes an nFET having a channel doped with Boron (1018 atoms/cm3) for regular Vt (RVT), high Vt (HVT) and super high Vt (SVT) cases. Note the significant reduction in Vt exhibited by the Carbon-doped devices as compared to the devices that are not doped with Carbon.
  • In FIG. 4 Carbon is implanted for Vt reduction in partially depleted SOI. If the Vt adjustment is through the workfunction, the body effect is kept unchanged. If the Vt adjustment is through the well dopant, the body effect should be increased. By introducing Carbon, the Vt is adjusted but the body effect does not change. Therefore it can be concluded that the Vt shift achieved by the Carbon doping is a result of the modulation of the effective workfunction.
  • FIG. 5 shows another embodiment of a process in accordance with the exemplary embodiments of this invention. FIG. 5 differs from FIG. 1 in that the Carbon is implanted after the fins are defined and prior to application of the high-k gate insulator and gate metal layers. FIG. 5 shows an exemplary case of three adjacent fins 500A, 500B and 500C, where the middle-most fin 500B is being implanted with Carbon and the outer-most fins 500A and 500C have been covered by a mask 502 (e.g., screen oxide SiO2). The implant angle θ is predetermined based on fin height and spacing to avoid shadowing effects so that the entire volume of the fin 500B is substantially equally doped with Carbon. Either the substrate or the ion source can be rotated so that both major vertical surfaces of the fin 500B can be implanted with Carbon. After implanting the first fin (500B in this case) the mask 502 is stripped and selectively reapplied as needed to implant the next fin or fins. The Carbon implant density is adjusted as needed to achieve the desired value of Vt for the resulting FinFET.
  • In the embodiments of FIGS. 1 and 5 it is not necessary that all fins contain implanted Carbon, as in some transistor devices it may be desired to provide a value of Vt that is not reduced.
  • The exemplary embodiments of this invention have been described thus far in the context of the fabrication of FinFETs and multi-gate transistors. However, the embodiments of this invention also encompass planar transistor devices, such as those fabricated using partially depleted SOI (PDSOI).
  • As was stated previously, it is desirable to achieve low threshold voltages for transistors with scaled Vdd. One approach to lower high-k metal gate (HKMG) nFET Vt is through rare metal diffusion towards the bottom interfacial layer of HfO2, e.g., by the use of La or Lu. However, this approach has a disadvantage of degrading electron mobility. The exemplary embodiments of this invention also provide a technique to reduce HKMG nFET Vt, without degrading electron mobility, by the use of a Carbon implant.
  • FIG. 6 shows preliminary processing steps for forming a HKMG nFET. A HKMG pFET can be formed as well. FIG. 6A shows a SOI layer 600 having an overlying layer of pad oxide 602. FIG. 6B shows a conventional well implant into the SOI layer 600. FIG. 6C shows a well implant anneal (rapid thermal anneal (RTA)) which can be carried out at about 1000° C. for several seconds (e.g., 5 seconds). FIG. 6D shows application of a hardmask 604 and a thermal oxidation process. FIGS. 6E and 6F show a result of patterning 606 and hardmask stripping. FIG. 6G shows a Carbon implant step (masks can be applied if needed). The Carbon implant can be a low energy implant as it is not necessary to implant the Carbon through the entire thickness of the SOI layer 600. A subsequent step involves interfacial layer processing to apply the IL where gate stacks will subsequently be formed.
  • In accordance with the invention the Carbon implant step of FIG. 6G is performed after the well implant (FIG. 6B) and after the well RTA (FIG. 6C) and prior to interfacial layer processing. The Carbon implant is performed to adjust the Vt of the subsequently formed HKMG transistor to a desired point, in a manner similar to that described about for the FinFET embodiments.
  • The exemplary embodiments of this invention can be used to fabricate integrated circuit chips that can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
  • The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The embodiment was chosen and described in order to best explain the principles of the invention and the practical application, and to enable others of ordinary skill in the art to understand the invention for various embodiments with various modifications as are suited to the particular use contemplated.
  • As such, various modifications and adaptations may become apparent to those skilled in the relevant art in view of the foregoing description, when read in conjunction with the accompanying drawings and the appended claims. As but some examples, the use of other layer thicknesses, layer materials, feature dimensions, process apparatus, implant energies and doses and the like may be used by those skilled in the art. However, all such and similar modifications of the teachings of this invention will still fall within the scope of this invention.

Claims (12)

What is claimed is:
1. A structure, comprising:
a substrate;
a transistor disposed over the substrate, the transistor comprising a fin comprised of Silicon that is implanted with Carbon; and
a gate dielectric layer and gate metal layer overlying a portion of the fin that defines a channel of the transistor, where
a concentration of Carbon within the fin is selected to establish a desired voltage threshold of the transistor.
2. The structure of claim 1, where the concentration of Carbon is substantially uniform throughout the fin.
3. The structure of claim 1, where the Carbon is implanted into the Silicon prior to the fin being formed.
4. The structure of claim 1, where the Carbon is implanted into the Silicon after the fin is formed.
5. The structure of claim 1, where there are a plurality of transistors disposed over the substrate each comprising an associated fin, and where a concentration of Carbon within at least two fins is different such that each of the associated transistors has a different value of voltage threshold.
6. The structure of claim 5, where a first Carbon concentration in a first fin and a second Carbon concentration in a second fin are each in a range of about 2×1014 to about 5×1015 atoms/cm3.
7. The structure of claim 1, where at least two Carbon implant operations are carried out using a different implant energy such that the concentration of Carbon is made substantially uniform throughout the fin.
8. The structure of claim 1, where the Silicon is a Silicon-on-Insulator (SOI) layer.
9. A structure, comprising:
a substrate;
a first FinFET disposed over the substrate, the first FinFET comprising a first fin comprised of Silicon that is implanted with Carbon having a first concentration, a gate dielectric layer and gate metal layer overlying a portion of the first fin that defines a channel of the first FinFET;
a second FinFET disposed over the substrate, the second FinFET comprising a second fin comprised of Silicon that is implanted with Carbon having a second concentration, a gate dielectric layer and gate metal layer overlying a portion of the second fin that defines a channel of the second FinFET;
where the first FinFET has a first voltage threshold and the second FinFET has a second voltage threshold that differs from the first voltage threshold by an amount related to a difference between the first Carbon concentration and the second Carbon concentration.
10. The structure of claim 9, the first fin and the second fin are each implanted using at least two Carbon implant operations performed one each of two major surfaces of the fin such that the concentration of Carbon is made substantially uniform throughout the thickness of the fin.
11. The structure of claim 9, where the first and second Carbon concentrations are each in a range of about 2×1014 to about 5×1015 atoms/cm3.
12. The structure of claim 9, where the Silicon is a portion of a Silicon-on-Insulator (SOI) layer.
US13/625,350 2011-10-27 2012-09-24 Threshold Voltage Adjustment For Thin Body Mosfets Abandoned US20130105896A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/625,350 US20130105896A1 (en) 2011-10-27 2012-09-24 Threshold Voltage Adjustment For Thin Body Mosfets

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/282,619 US9040399B2 (en) 2011-10-27 2011-10-27 Threshold voltage adjustment for thin body MOSFETs
US13/625,350 US20130105896A1 (en) 2011-10-27 2012-09-24 Threshold Voltage Adjustment For Thin Body Mosfets

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/282,619 Continuation US9040399B2 (en) 2011-10-27 2011-10-27 Threshold voltage adjustment for thin body MOSFETs

Publications (1)

Publication Number Publication Date
US20130105896A1 true US20130105896A1 (en) 2013-05-02

Family

ID=48168526

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/282,619 Active 2032-09-05 US9040399B2 (en) 2011-10-27 2011-10-27 Threshold voltage adjustment for thin body MOSFETs
US13/625,350 Abandoned US20130105896A1 (en) 2011-10-27 2012-09-24 Threshold Voltage Adjustment For Thin Body Mosfets

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/282,619 Active 2032-09-05 US9040399B2 (en) 2011-10-27 2011-10-27 Threshold voltage adjustment for thin body MOSFETs

Country Status (4)

Country Link
US (2) US9040399B2 (en)
CN (1) CN103930998B (en)
DE (1) DE112012004134B4 (en)
WO (1) WO2013063351A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180151574A1 (en) * 2016-11-29 2018-05-31 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure, static random access memory, and fabrication method thereof
US10770570B2 (en) * 2016-11-29 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102779753B (en) * 2011-05-12 2015-05-06 中芯国际集成电路制造(上海)有限公司 Manufacture method of semiconductor device
US9397098B2 (en) 2012-03-08 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8779517B2 (en) 2012-03-08 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8766319B2 (en) * 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US9564367B2 (en) * 2012-09-13 2017-02-07 Globalfoundries Inc. Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
US8815661B1 (en) * 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
CN104952783A (en) * 2014-03-31 2015-09-30 中芯国际集成电路制造(上海)有限公司 Shallow trench isolation structure, production method thereof and semiconductor device with shallow trench isolation structure
US9379185B2 (en) 2014-04-24 2016-06-28 International Business Machines Corporation Method of forming channel region dopant control in fin field effect transistor
US9349649B2 (en) * 2014-06-26 2016-05-24 Globalfoundries Inc. Low resistance and defect free epitaxial semiconductor material for providing merged FinFETs
CN105826372B (en) * 2015-01-06 2018-12-21 中芯国际集成电路制造(上海)有限公司 FinFET and forming method thereof
US9735275B2 (en) 2015-12-18 2017-08-15 International Business Machines Corporation Channel replacement and bimodal doping scheme for bulk finFET threshold voltage modulation with reduced performance penalty
KR102295721B1 (en) * 2017-09-08 2021-08-30 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10910216B2 (en) 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
US11264289B2 (en) 2019-07-11 2022-03-01 Tokyo Electron Limited Method for threshold voltage tuning through selective deposition of high-K metal gate (HKMG) film stacks
CN111898334B (en) * 2020-08-04 2022-02-01 深圳比特微电子科技有限公司 Standard unit for system-on-chip design and data processing unit, operation chip and computing equipment applying standard unit

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US7202517B2 (en) * 2003-07-18 2007-04-10 Interuniversitair Microelektronica Centrum (Imec Vzw) Multiple gate semiconductor device and method for forming same
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US20110147842A1 (en) * 2009-12-23 2011-06-23 Annalisa Cappellani Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8039376B2 (en) * 2007-11-14 2011-10-18 International Business Machines Corporation Methods of changing threshold voltages of semiconductor transistors by ion implantation
US20120049293A1 (en) * 2010-08-31 2012-03-01 Globalfoundries Inc. Reduced Threshold Voltage-Width Dependency in Transistors Comprising High-K Metal Gate Electrode Structures

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
JP4014677B2 (en) 1996-08-13 2007-11-28 株式会社半導体エネルギー研究所 Insulated gate type semiconductor device
US6455385B1 (en) * 1998-01-07 2002-09-24 Advanced Micro Devices, Inc. Semiconductor fabrication with multiple low dose implant
DE10012112C2 (en) 2000-03-13 2002-01-10 Infineon Technologies Ag Bridge field effect transistor and method for producing a bridge field effect transistor
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US20060068556A1 (en) 2004-09-27 2006-03-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7186662B2 (en) 2004-10-13 2007-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a hard mask for gate electrode patterning and corresponding device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US20070077739A1 (en) 2005-09-30 2007-04-05 Weber Cory E Carbon controlled fixed charge process
DE102006019835B4 (en) 2006-04-28 2011-05-12 Advanced Micro Devices, Inc., Sunnyvale Transistor having a channel with tensile strain oriented along a crystallographic orientation with increased charge carrier mobility
DE102006019921B4 (en) * 2006-04-28 2010-10-28 Advanced Micro Devices, Inc., Sunnyvale A method of manufacturing the embedded-layer transistor with tensile strain at a short distance from the gate electrode
US20080111185A1 (en) * 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
US7915681B2 (en) * 2007-06-18 2011-03-29 Infineon Technologies Ag Transistor with reduced charge carrier mobility
US7910446B2 (en) 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7736983B2 (en) * 2008-01-10 2010-06-15 Texas Instruments Incorporated High threshold NMOS source-drain formation with As, P and C to reduce damage
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
WO2011013271A1 (en) 2009-07-27 2011-02-03 パナソニック株式会社 Method for manufacturing semiconductor device, and plasma doping apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US7202517B2 (en) * 2003-07-18 2007-04-10 Interuniversitair Microelektronica Centrum (Imec Vzw) Multiple gate semiconductor device and method for forming same
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US8039376B2 (en) * 2007-11-14 2011-10-18 International Business Machines Corporation Methods of changing threshold voltages of semiconductor transistors by ion implantation
US20110147842A1 (en) * 2009-12-23 2011-06-23 Annalisa Cappellani Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US20120049293A1 (en) * 2010-08-31 2012-03-01 Globalfoundries Inc. Reduced Threshold Voltage-Width Dependency in Transistors Comprising High-K Metal Gate Electrode Structures

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180151574A1 (en) * 2016-11-29 2018-05-31 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure, static random access memory, and fabrication method thereof
US10256243B2 (en) * 2016-11-29 2019-04-09 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure, static random access memory, and fabrication method thereof
US10770570B2 (en) * 2016-11-29 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US11450757B2 (en) * 2016-11-29 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming

Also Published As

Publication number Publication date
US20130105894A1 (en) 2013-05-02
DE112012004134T5 (en) 2014-06-26
CN103930998A (en) 2014-07-16
CN103930998B (en) 2017-06-09
DE112012004134B4 (en) 2017-09-07
US9040399B2 (en) 2015-05-26
WO2013063351A1 (en) 2013-05-02

Similar Documents

Publication Publication Date Title
US9040399B2 (en) Threshold voltage adjustment for thin body MOSFETs
US8445356B1 (en) Integrated circuit having back gating, improved isolation and reduced well resistance and method to fabricate same
US8975697B2 (en) Integrated circuit having MOSFET with embedded stressor and method to fabricate same
US9391091B2 (en) MOSFET with work function adjusted metal backgate
US7459752B2 (en) Ultra thin body fully-depleted SOI MOSFETs
US7381649B2 (en) Structure for a multiple-gate FET device and a method for its fabrication
US7042009B2 (en) High mobility tri-gate devices and methods of fabrication
US7101763B1 (en) Low capacitance junction-isolation for bulk FinFET technology
US8513081B2 (en) Carbon implant for workfunction adjustment in replacement gate transistor
US8084305B2 (en) Isolation spacer for thin SOI devices
US9269804B2 (en) Gate recessed FDSOI transistor with sandwich of active and etch control layers
KR20070037395A (en) Semiconductor devices and methods of manufacture thereof
JP2006049897A (en) Method for manufacturing ultra thin body field effect transistor (fet) and ultra-thin body fet device (ultra-thin body super-steep retrograde well (ssrw) fet device) manufactured by the same
US10290549B2 (en) Integrated circuit structure, gate all-around integrated circuit structure and methods of forming same
US10658252B2 (en) Semiconductor structure and method for forming the same
US8883577B2 (en) Semiconductor device and producing method thereof
US6664146B1 (en) Integration of fully depleted and partially depleted field effect transistors formed in SOI technology
US7067434B2 (en) Hydrogen free integration of high-k gate dielectrics
WO2013155760A1 (en) Semiconductor structure and manufacturing method thereof
US6680504B2 (en) Method for constructing a metal oxide semiconductor field effect transistor
US9412848B1 (en) Methods of forming a complex GAA FET device at advanced technology nodes

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION