DE102017116224A1 - Metall-Gate-Struktur und zugehörige Verfahren - Google Patents

Metall-Gate-Struktur und zugehörige Verfahren Download PDF

Info

Publication number
DE102017116224A1
DE102017116224A1 DE102017116224.0A DE102017116224A DE102017116224A1 DE 102017116224 A1 DE102017116224 A1 DE 102017116224A1 DE 102017116224 A DE102017116224 A DE 102017116224A DE 102017116224 A1 DE102017116224 A1 DE 102017116224A1
Authority
DE
Germany
Prior art keywords
metal
layer
gate
fin
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017116224.0A
Other languages
English (en)
Inventor
Tzung-Chi Lee
Tung-Heng Hsieh
Bao-Ru Young
Chia-Sheng FAN
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/649,865 external-priority patent/US10079289B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017116224A1 publication Critical patent/DE102017116224A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Es werden eine Metall-Gate-Struktur und zugehörige Verfahren bereitgestellt, die das Herstellen einer ersten Finne und einer zweiten Finne auf einem Substrat umfassen. Bei verschiedenen Ausführungsformen hat die erste Finne einen ersten Gate-Bereich, und die zweite Finne hat einen zweiten Gate-Bereich. Beispielhaft wird eine Metall-Gate-Leitung über dem ersten und dem zweiten Gate-Bereich hergestellt. Bei einigen Ausführungsformen verläuft die Metall-Gate-Leitung von der ersten Finne zu der zweiten Finne, und die Metall-Gate-Leitung weist einen Opfermetallteil auf. In verschiedenen Beispielen wird ein Leitungsschneideprozess durchgeführt, um die Metall-Gate-Leitung in eine erste Metall-Gate-Leitung und eine zweite Gate-Leitung zu trennen. Bei einigen Ausführungsformen wird durch den Opfermetallteil eine seitliche Ätzung einer dielektrischen Schicht während des Leitungsschneideprozesses verhindert.

Description

  • Querverweis auf verwandte Anmeldungen
  • Diese Anmeldung beansprucht die Priorität der am 22. Dezember 2016 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/438.398, die durch Bezugnahme aufgenommen ist.
  • Hintergrund der Erfindung
  • Die Elektronikindustrie hat eine ständig wachsende Nachfrage nach kleineren und schnelleren elektronischen Bauelementen wahrgenommen, die gleichzeitig in der Lage sind, eine höhere Anzahl von zunehmend komplexen und anspruchsvollen Funktionen zu unterstützen. Daher besteht in der Halbleiterbranche ein anhaltender Trend, kostengünstige integrierte Schaltkreise (ICs) mit hoher Leistung und geringem Stromverbrauch herzustellen. Bisher sind diese Ziele zum großen Teil dadurch erreicht worden, dass die Abmessungen der Halbleiter-ICs (z. B. die kleinste Strukturbreite) verringert worden sind und dadurch die Produktionsleistung verbessert worden ist und die damit verbundenen Kosten gesenkt worden sind. Diese Verkleinerung hat aber auch den Halbleiter-Herstellungsprozess komplexer gemacht. Daher macht die Realisierung von weiteren Fortschritten bei Halbleiter-ICs und -Bauelementen ähnliche Fortschritte bei Halbleiter-Herstellungsprozessen und -Technologien erforderlich.
  • Multi-Gate-Bauelemente sind in dem Bestreben eingeführt worden, die Gate-Steuerung durch Erhöhung der Gate-Kanalkopplung zu verbessern, den Strom im ausgeschalteten Zustand zu verringern und Kurzkanaleffekte (SECs) zu verringern. Ein solches Multi-Gate-Bauelement, das eingeführt worden ist, ist der Finnen-Feldeffekttransistor (FinFET). Der FinFET hat seinen Namen von der finnenartigen Struktur, die von einem Substrat, auf dem er hergestellt ist, abgeht und zur Herstellung des FET-Kanals verwendet wird. FinFETs sind mit herkömmlichen CMOS-Prozessen (CMOS: komplementärer Metall-Oxid-Halbleiter) kompatibel, und ihre dreidimensionale Struktur ermöglicht eine offensive Verkleinerung unter Beibehaltung der Gate-Steuerung und Abschwächung der SECs. Darüber hinaus sind Metall-Gate-Elektroden als ein Ersatz für Polysilizium-Gate-Elektroden eingeführt worden. Metall-Gate-Elektroden bieten eine Anzahl von Vorteilen gegenüber Polysilizium-Gate-Elektroden, wie etwa Vermeidung des Polysiliziumverarmungseffekts, Einstellung der Austrittsarbeit durch Wahl von geeigneten Gate-Metallen sowie weitere Vorteile. Der Herstellungsprozess für Metall-Gate-Elektroden kann zum Beispiel eine Metallschichtabscheidung mit einem anschließenden Metallschicht-Schneideprozess umfassen. In einigen Fällen kann der Metallschicht-Schneideprozess zu einem Verlust von Teilen eines Zwischenschicht-Dielektrikums (ILD) führen, was wiederum zu einer verminderten Zuverlässigkeit des Bauelements führt.
  • Somit haben sich bestehende Verfahren als nicht in jeder Hinsicht völlig zufriedenstellend erwiesen.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist eine perspektivische Darstellung einer Ausführungsform eines FinFET-Bauelements gemäß einem oder mehreren Aspekten der vorliegenden Erfindung.
    • 2 ist eine Draufsicht von benachbarten Finnen, einer Metall-Gate-Struktur und einer Metal-Gate-Schneidestruktur gemäß einigen Ausführungsformen.
    • 3 zeigt eine Schnittansicht einer FinFET-Struktur, bei der ein Metall-Gate-Leitungs-Schneideprozess durchgeführt wird, gemäß mindestens einigen bestehenden Verfahren.
    • 4 zeigt eine Schnittansicht einer FinFET-Struktur, bei der ein Metall-Gate-Leitungs-Schneideprozess durchgeführt wird, gemäß Ausführungsformen der vorliegenden Erfindung.
    • 5 ist ein Ablaufdiagramm eines Halbleiterherstellungsverfahrens gemäß einem oder mehreren Aspekten der vorliegenden Erfindung.
    • Die 6A, 7A, 8A, 9A, 10A, 11A und 12A zeigen Schnittansichten einer nach dem Verfahren von 5 hergestellten FinFET-Struktur entlang einer Ebene, die im Wesentlichen parallel zu einer Ebene ist, die von der Schnittfläche C - C’ in 1 definiert wird.
    • Die 6B, 7B, 8B, 9B, 10B, 11B und 12B zeigen Schnittansichten einer nach dem Verfahren von 5 hergestellten FinFET-Struktur entlang einer Ebene, die im Wesentlichen parallel zu einer Ebene ist, die von der Schnittfläche A - A’ in 1 definiert wird.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Außerdem ist zu beachten, die die vorliegende Erfindung Ausführungsformen in der Form von Multi-Gate-Transistoren oder Finnen-Multi-Gate-Transistoren bereitstellt, die hier als FinFET-Bauelemente bezeichnet werden. Ein solches Bauelement kann ein p-Metall-Oxid-Halbleiter-FinFET-Bauelement oder ein n-Metall-Oxid-Halbleiter-FinFET-Bauelement sein. Das FinFET-Bauelement kann ein Dual-Gate-Bauelement, ein Tri-Gate-Bauelement, ein Volumen-Bauelement, ein SOI-Bauelement (SOI: Silizium auf Isolator) und/oder ein Bauelement mit einer anderen Konfiguration sein. Ein Fachmann dürfte weitere Ausführungsformen von Halbleiter-Bauelementen erkennen, die von Aspekten der vorliegenden Erfindung profitieren können. Zum Beispiel können weitere Ausführungsformen, die hier beschrieben werden, auch für Gate-all-around(GAA)-Bauelemente, Omega(Q)-Gate-Bauelemente oder Pi(Π)-Gate-Bauelemente verwendet werden.
  • Die vorliegende Anmeldung betrifft allgemein eine Metall-Gate-Struktur und zugehörige Herstellungsverfahren. Insbesondere betrifft die vorliegende Erfindung einen Metall-Gate-Schneideprozess und eine zugehörige Struktur. Metall-Gate-Elektroden sind als ein Ersatz für Polysilizium-Gate-Elektroden vorgeschlagen worden. Metall-Gate-Elektroden bieten eine Anzahl von Vorteilen gegenüber Polysilizium-Gate-Elektroden, wie etwa Vermeidung des Polysiliziumverarmungseffekts, Einstellung der Austrittsarbeit durch Wahl von geeigneten Gate-Metallen sowie weitere Vorteile. Der Herstellungsprozess für Metall-Gate-Elektroden kann zum Beispiel eine Metallschichtabscheidung mit einem anschließenden Metallschicht-Schneideprozess umfassen. In einigen Fällen kann der Metallschicht-Schneideprozess zu einem Verlust von Teilen eines Zwischenschicht-Dielektrikums (ILD) führen, was wiederum zu einer verminderten Zuverlässigkeit des Bauelements führt.
  • Ausführungsformen der vorliegenden Erfindung bieten Vorzüge gegenüber dem Stand der Technik, aber es ist klar, dass andere Ausführungsformen andere Vorzüge bieten können, hier nicht unbedingt alle Vorzüge erörtert werden und kein spezieller Vorzug für alle Ausführungsformen erforderlich ist. Allgemein und gemäß hier beschriebenen Ausführungsformen werden ein Metall-Gate-Schneideprozess und eine zugehörige Struktur bereitgestellt. Mindestens einige Ausführungsformen der vorliegenden Erfindung können zum Verringern des ILD-Verlusts während des Metall-Gate-Schneideprozesses verwendet werden. Zum Beispiel kann bei mindestens einigen bestehenden Verfahren eine Überätzung einer Metall-Gate-Schicht (z. B. während eines Metall-Gate-Schneideprozesses) zu diesem unerwünschten ILD-Verlust führen. Um dieses Problem zu entschärfen, stellen Ausführungsformen der vorliegenden Erfindung eine Metall-Gate-Schicht oder mehrere Schichten bereit, die unterschiedliche Dicken auf einer Finne (z. B. bei einem FinFET) und auf einem benachbarten Leitungsschneidebereich haben. Darüber hinaus kann zumindest bei einigen Ausführungsformen ein Opfermetallteil verwendet werden, um eine seitliche Ätzung während eines Metallleitungs-Schneideprozesses zu vermeiden, wodurch ein ILD-Verlust wirksam vermieden wird.
  • In 1 ist ein FinFET-Bauelement 100 dargestellt. Verschiedene Ausführungsformen, die hier beschrieben werden, können zum Herstellen des FinFET-Bauelements 100 verwendet werden und/oder können in der Endstruktur des FinFET-Bauelements 100 vorliegen. Das FinFET-Bauelement 100 weist einen oder mehrere Finnenbasierte Multi-Gate-Feldeffekttransistoren (FETs) auf. Das FinFET-Bauelement 100 weist ein Substrat 102, mindestens ein Finnen-Element 104, das von dem Substrat 102 abgeht, Trennbereiche 106 und eine Gate-Struktur 108 auf, die auf dem und um das Finnen-Element 104 angeordnet ist. Das Substrat 102 kann ein Halbleitersubstrat, wie etwa ein Siliziumsubstrat, sein. Das Substrat kann verschiedene Schichten haben, wie etwa leitende oder isolierende Schichten, die auf dem Halbleitersubstrat hergestellt sind. Das Substrat kann verschiedene Dotierungskonfigurationen haben, die von auf dem Fachgebiet bekannten Entwurfsanforderungen abhängig sind. Das Substrat kann außerdem weitere Halbleiter aufweisen, wie etwa Germanium, Siliziumcarbid (SiC), Siliziumgermanium (SiGe) oder Diamant. Alternativ kann das Substrat ein Verbindungshalbleiter und/oder ein Legierungshalbleiter sein. Darüber hinaus kann bei einigen Ausführungsformen das Substrat eine Epitaxialschicht aufweisen, das Substrat kann zur Verbesserung der Leistung verspannt sein, das Substrat kann eine SOI-Struktur (SOI: Silizium auf Isolator) haben, und/oder das Substrat kann weitere geeignete Verbesserungsmerkmale haben.
  • Das Finnen-Element 104 kann wie das Substrat 102 Folgendes umfassen: Silizium oder einen anderen elementaren Halbleiter, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Die Finnen 104 können mit geeigneten Verfahren hergestellt werden, wie etwa fotolithografischen und Ätzverfahren. Das fotolithografische Verfahren kann Folgendes umfassen: Herstellen einer Fotoresistschicht (Resist) über dem Substrat (z. B. auf einer Siliziumschicht), Belichten des Resists zu einer Struktur, Durchführen einer Härtung nach der Belichtung und Entwickeln des Resists zu einem Maskierungselement mit dem Resist. Bei einigen Ausführungsformen kann das Strukturieren des Resists zu einem Maskierungselement mittels EUV-Lithografie (EUV: extremes Ultraviolett) oder Elektronenstrahl-Lithografie erfolgen. Das Maskierungselement kann dann zum Schützen von Bereichen des Substrats verwendet werden, während durch Ätzen Aussparungen in der Siliziumschicht hergestellt werden, sodass eine abgehende Finne 104 entsteht. Die Aussparungen können durch Trockenätzung (z. B. chemische Oxidentfernung), Nassätzung und/oder andere geeignete Verfahren geätzt werden. Es können auch zahlreiche andere Ausführungsformen von Verfahren zum Herstellen der Finnen 104 auf dem Substrat 102 verwendet werden.
  • Die Finnen 104 haben jeweils einen Source-Bereich 105 und einen Drain-Bereich 107, wobei die Source-/Drain-Bereiche 105 und 107 in, auf und/oder um die Finne 104 hergestellt werden. Die Source-/Drain-Bereiche 105 und 107 können über den Finnen 104 epitaxial aufgewachsen werden. Ein Kanalbereich eines Transistors ist in der Finne 104 unter der Gate-Struktur 108 entlang einer Ebene angeordnet, die im Wesentlichen parallel zu einer Ebene ist, die von der Schnittfläche B - B’ von 1 definiert wird. In einigen Beispielen weist der Kanalbereich der Finne ein Material mit einer hohen Elektronenbeweglichkeit, wie etwa Germanium, sowie einen der Verbindungshalbleiter oder Legierungshalbleiter auf, die vorstehend genannt worden sind, und/oder Kombinationen davon. Materialien mit einer hohen Elektronenbeweglichkeit sind Materialien mit einer Elektronenbeweglichkeit, die zum Beispiel größer als die von Silizium ist, das eine Elektronen-Eigenbeweglichkeit bei Raumtemperatur (300 K) von etwa 1350 cm2/Vs und eine Löcherbeweglichkeit von etwa 480 cm2/Vs hat.
  • Die Trennbereiche 106 können STI-Strukturelemente (STI: flache Grabenisolation) sein. Alternativ können ein Feldoxid, ein LOCOS-Element und/oder andere geeignete Trennelemente auf und/oder in dem Substrat 102 implementiert werden. Die Trennbereiche 106 können aus Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Fluorsilicatglas (FSG), einem Low-k-Dielektrikum, Kombinationen davon und/oder einem anderen geeigneten Material bestehen, das auf dem Fachgebiet bekannt ist. Bei einer Ausführungsform sind die Trennstrukturen STI-Strukturelemente und werden durch Ätzen von Gräben in dem Substrat 102 hergestellt. Die Gräben können dann mit einem Isoliermaterial gefüllt werden, und daran schließt sich eine chemisch-mechanische Polierung (CMP) an. Es sind jedoch auch andere Ausführungsformen möglich. Bei einigen Ausführungsformen können die Trennbereiche 106 eine Mehrschichtstruktur mit zum Beispiel einer oder mehreren Deckschichten haben.
  • Die Gate-Struktur 108 umfasst einen Gate-Stapel, der eine Zwischenschicht 110, die über dem Kanalbereich der Finne 104 hergestellt ist, eine dielektrische Gate-Schicht 112, die über der Zwischenschicht 110 hergestellt ist, und eine Metallschicht 114 hat, die über der dielektrischen Gate-Schicht 112 hergestellt ist. Die Zwischenschicht 110 kann ein dielektrisches Material aufweisen, wie etwa Siliziumoxid (SiO2) oder Siliziumoxidnitrid (SiON). Die Zwischenschicht 110 kann durch chemische Oxidation, thermische Oxidation, Atomlagenabscheidung (ALD), chemische Aufdampfung (CVD) und/oder ein anderes geeignetes Verfahren hergestellt werden. Die dielektrische Gate-Schicht 112 kann eine dielektrische High-k-Schicht sein, wie etwa Hafniumoxid (HfO2). Alternativ kann die dielektrische High-k-Schicht andere High-k-Dielektrika umfassen, wie etwa TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, Kombinationen davon oder ein anderes geeignetes Material. Bei noch weiteren Ausführungsformen kann die dielektrische Gate-Schicht Siliziumdioxid oder ein anderes geeignetes Dielektrikum aufweisen. Die dielektrische Gate-Schicht kann durch ALD, physikalische Aufdampfung (PVD), Oxidation und/oder andere geeignete Verfahren hergestellt werden. Die Metallschicht 114 kann eine leitende Schicht umfassen, wie etwa W, TiN, TaN, WN, Re, Ir, Ru, Mo, Al, Co, Ni, Kombinationen davon und/oder andere geeignete Zusammensetzungen. Bei einigen Ausführungsformen kann die Metallschicht 114 ein erstes Metallmaterial für n-FinFETs und ein zweites Metallmaterial für p-FinFETs aufweisen. Somit kann das FinFET-Bauelement 100 eine Doppel-Austrittsarbeit-Metall-Gate-Konfiguration haben. Zum Beispiel kann das erste Metallmaterial (z. B. für n-leitende Bauelemente) Metalle umfassen, die eine Austrittsarbeit haben, die im Wesentlichen an eine Austrittsarbeit des Substratsleitungsbands angepasst ist oder zumindest im Wesentlichen an eine Austrittsarbeit des Leitungsbands des Kanalbereichs der Finne 104 angepasst ist. In ähnlicher Weise kann das zweite Metallmaterial (z. B. für p-leitende Bauelemente) Metalle umfassen, die eine Austrittsarbeit haben, die im Wesentlichen an eine Austrittsarbeit des Substratsvalenzbands angepasst ist oder zumindest im Wesentlichen an eine Austrittsarbeit des Valenzbands des Kanalbereichs der Finne 104 angepasst ist. Somit kann die Metallschicht 114 eine Gate-Elektrode für das FinFET-Bauelement 100 mit n-leitenden und p-leitenden FinFET-Bauelementen 100 bereitstellen. Bei einigen Ausführungsformen kann die Metallschicht 114 alternativ eine Polysiliziumschicht sein. Die Metallschicht 114 kann durch PVD, CVD, Elektronenstrahlverdampfung (E-Strahlverdampfung) und/oder andere geeignete Verfahren hergestellt werden. Seitenwand-Abstandshalter können ein dielektrisches Material aufweisen, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxidnitrid oder Kombinationen davon.
  • Kommen wir nun zu 2, in der eine Draufsicht von benachbarten Finnen 204 und einer Metall-Gate-Struktur 208 dargestellt ist, die über den und im Wesentlichen senkrecht zu den Finnen 204 angeordnet ist. Bei einigen Ausführungsformen kann die Schnittfläche A - A’ von 2 im Wesentlichen parallel zu der Ebene sein, die von der Schnittfläche A - A’ von 1 definiert wird, und die Schnittfläche C - C’ von 2 kann im Wesentlichen parallel zu der Ebene sein, die von der Schnittfläche C - C’ von 1 definiert wird. In einigen Fällen können die Finnen 204 im Wesentlichen die Gleichen wie die vorstehend beschriebenen Finnen 104 sein, und die Metall-Gate-Struktur 208 kann der vorstehend beschriebenen Gate-Struktur 108 in zumindest einigen Aspekten ähnlich sein. Beispielhaft zeigt 2 außerdem eine Metal-Gate-Schneidestruktur 210, die in einigen Beispielen von einer strukturierten Hartmaskenschicht definiert werden kann. Bei einigen Ausführungsformen ist in der Metal-Gate-Schneidestruktur 210 eine Öffnung (z. B. in der strukturierten Hartmaskenschicht) vorgesehen, durch die ein Metall-Gate-Leitungs-Schneideprozess durchgeführt wird und in der ein Teil 212 der Metall-Gate-Schicht der Metall-Gate-Struktur 208 geschnitten werden kann. Der hier beschriebene Metall-Gate-Leitungs-Schneideprozess kann einen Trockenätzprozess, einen Nassätzprozess oder eine Kombination davon umfassen, der/die zum Entfernen eines Teils der Metall-Gate-Schicht der Metall-Gate-Struktur 208 in einem Bereich dient, der von der Metal-Gate-Schneidestruktur 210 definiert wird. Beispielhaft kann der Metall-Gate-Leitungs-Schneideprozess zum Schneiden einer Metall-Gate-Leitung in einzelne, elektrisch getrennte Leitungen verwendet werden. Bei einigen Ausführungsformen kann eine dielektrische Schicht in einem Leitungsschneidebereich (z. B. wo der Teil der Metall-Gate-Schicht entfernt worden ist) als Teil des Metall-Gate-Leitungs-Schneideprozesses hergestellt werden.
  • In 3 ist eine Schnittansicht einer FinFet-Struktur 300 entlang einer Ebene gezeigt, die im Wesentlichen parallel zu einer von der Schnittfläche A - A’ von 1 definierten Ebene ist, in der ein Metall-Gate-rLeitungs-Schneideprozess gemäß zumindest einigen bestehenden Verfahren durchgeführt wird. Die FinFet-Struktur 300 kann ein oder mehrere Strukturelemente haben, die vorstehend unter Bezugnahme auf 1 beschrieben worden sind, wie etwa Finnen-Elemente 304, die von einem Substrat abgehen, Trennbereiche 306 und eine Gate-Struktur 308, die auf den und um die Finnen-Elemente 304 angeordnet ist. Die Gate-Struktur 308 kann einen Gate-Stapel umfassen, der eine dielektrische Schicht 310, die eine Zwischenschicht und eine dielektrische Gate-Schicht umfasst, und eine Metallschicht hat, die über der dielektrischen Schicht 310 hergestellt ist. In einigen Beispielen kann die Metallschicht ein erstes Metallmaterial 312 (z. B. ein p-leitendes Austrittsarbeitsmetall; PWFM), ein zweites Metallmaterial 314 (z. B. ein n-leitendes Austrittsarbeitsmetall; NWFM) und ein drittes Metallmaterial 316 (z. B. Wolfram) über dem zweiten Metallmaterial 314 aufweisen. In verschiedenen Beispielen kann eine dielektrische Schicht 318 über dem dritten Metallmaterial 316 hergestellt werden. Wie außerdem in 3 gezeigt ist, wird eine Gesamtdicke des ersten und des zweiten Metallmaterials 312 und 314 als T1 definiert. Bei zumindest einigen bestehenden Metall-Gate-Leitungs-Schneideverfahren kann die Dicke des ersten und des zweiten Metallmaterials 312 und 314 zu Bearbeitungsproblemen führen. 3 zeigt zum Beispiel weiterhin einen Metall-Gate-Schneidebereich 320, in dem Metallschichten der Gate-Struktur 308 getrennt werden und der als Teil des vorstehend beschriebenen Metall-Gate-Leitungs-Schneideprozesses hergestellt werden kann. In verschiedenen Fällen kann in einem nachfolgenden Bearbeitungsschritt eine dielektrische Schicht in dem Metall-Gate-Schneidebereich 320 hergestellt werden. Es ist besonders zu beachten, dass das erste und das zweite Metallmaterial 312 und 314 eine im Wesentlichen gleichbleibende Dicke T1 von den Finnen-Elementen 304 bis zu dem Schneidebereich 320 haben können. Bevor der Schneidebereich 320 hergestellt wird, können in einigen Fällen das erste und das zweite Metallmaterial 312 und 314 eine im Wesentlichen gleichbleibende Dicke T1 auch durch den Schneidebereich 320 haben, und sie können während eines Leitungsschneideprozesses entfernt werden. In einigen Fällen können zumindest teilweise auf Grund der Dicke T1 des ersten und des zweiten Metallmaterials 312 und 314 an dem Schneidebereich 320 zumindest einige bestehende Verfahren eine signifikante Überätzung der Metallschichten in dem Schneidebereich 320 während des Metall-Gate-Leitungs-Schneideprozesses erfordern, um die hier befindlichen Metallschichten vollständig zu entfernen. Durch diese Überätzung kann es zu einem erheblichen Verlust (z. B. durch Ätzung) einer benachbarten dielektrischen Schicht (z. B. der dielektrischen Schicht 318 oder eines anderen Zwischenschicht-Dielektrikums) kommen. Es wäre daher wünschenswert, diesen dielektrischen Verlust während des Metall-Gate-Leitungs-Schneideprozesses zu verringern.
  • Kommen wir nun zu 4, in der eine Schnittansicht einer FinFET-Struktur 400 entlang einer Ebene gezeigt ist, die im Wesentlichen parallel zu einer von der Schnittfläche A - A’ von 1 definierten Ebene ist, in der ein Metall-Gate-Leitungs-Schneideprozess gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung durchgeführt wird. Im Allgemeinen können Ausführungsformen der vorliegenden Erfindung eine Metall-Gate-Schicht oder mehrere Schichten bereitstellen, die andere Dicken auf einem Finnen-Element eines FinFET als in einem benachbarten Leitungsschneidebereich haben. Darüber hinaus kann bei einigen Ausführungsformen ein Opfermetallteil verwendet werden, um eine seitliche Ätzung während eines Metallleitungs-Schneideprozesses zu vermeiden. Die FinFET-Struktur 400 kann ein oder mehrere Strukturelemente aufweisen, die vorstehend unter Bezugnahme auf die 1 und 3 beschrieben worden sind, wie etwa Finnen-Elemente 404, die von einem Substrat abgehen, Trennbereiche 406 und eine Gate-Struktur 408, die auf den und um die Finnen-Elemente 404 angeordnet ist. Die Gate-Struktur 408 kann einen Gate-Stapel umfassen, der eine dielektrische Schicht 410, die eine Zwischenschicht und eine dielektrische Gate-Schicht umfasst, und eine Metallschicht hat, die über der dielektrischen Schicht 410 hergestellt ist. Wie vorstehend dargelegt worden ist, kann die Metallschicht ein erstes Metallmaterial 412 (z. B. ein PWFM), ein zweites Metallmaterial 414 (z. B. ein NWFM) und ein drittes Metallmaterial 416 (z. B. Wolfram) aufweisen. In verschiedenen Beispielen kann eine dielektrische Schicht 418 über dem dritten Metallmaterial 416 hergestellt werden. 4 zeigt außerdem einen Metall-Gate-Schneidebereich 420, der als Teil des vorstehend beschriebenen Metall-Gate-Leitungs-Schneideprozesses hergestellt werden kann und in dem eine dielektrische Schicht in einem nachfolgenden Bearbeitungsschritt hergestellt werden kann.
  • Im Gegensatz zu mindestens einigen bestehenden Verfahren (z. B. 3) und bei einigen Ausführungsformen können das erste und das zweite Metallmaterial 412 und 414 keine im Wesentlichen gleichbleibende Dicke T1 von den Finnen-Elementen 404 bis zu dem Schneidebereich 420 haben. Vielmehr können bei einigen Ausführungsformen das erste und das zweite Metallmaterial 412 und 414 eine Gesamtdicke T1 über den Finnen-Elementen 404 haben, wie in 4 gezeigt ist, während sie an dem benachbarten Schneidebereich 420 eine zweite Dicke T2 haben, die kleiner als T1 ist. Bei einigen Ausführungsformen kann der Metall-Gate-Schneideprozess, der zum Herstellen des Schneidebereichs 420 dient, vor der Abscheidung des zweiten Metallmaterials 414 durchgeführt werden. Bei einigen Ausführungsformen entspricht die Dicke T2 der Dicke nur des ersten Metallmaterials 412 (z. B. des PWFM). Daher wird in einigen Fällen das zweite Metallmaterial 414 nicht an dem Schneidebereich 420 abgeschieden, bevor der Schneidebereich 420 hergestellt wird. An sich können bei einigen Ausführungsformen das erste Metallmaterial, das die Dicke T2 hat, und nicht das erste und das zweite Metallmaterial, die die Dicke T1 haben, während eines Leitungsschneideprozesses entfernt werden. Bei einigen Ausführungsformen der vorliegenden Erfindung ist auf Grund der geringeren Dicke T2 (z. B. im Vergleich zu T1) nur des ersten Metallmaterials 412 an dem Schneidebereich 420 keine signifikante Überätzung der Metallschichten in dem Schneidebereich 320 erforderlich, wie es bei zumindest einigen bestehenden Verfahren der Fall ist. Dadurch kann ein Verlust (z. B. durch Ätzung) einer benachbarten dielektrischen Schicht (z. B. der dielektrischen Schicht 318 oder eines anderen Zwischenschicht-Dielektrikums) vermieden werden. Darüber hinaus kann bei einigen Ausführungsformen das dritte Metallmaterial 416 ein Material, wie etwa Wolfram (W), aufweisen, das als ein seitliches Ätzstoppmaterial dienen kann. Somit kann eine seitliche Ätzung der benachbarten dielektrischen Schicht durch die seitliche Ätzstoppfunktion des dritten Metallmaterials 416 weiter abgeschwächt werden. In einigen Fällen ist das dritte Metallmaterial 416 eine Opferschicht, die in einem nachfolgenden Bearbeitungsschritt entfernt wird.
  • In 5 ist ein Ablaufdiagramm eines Halbleiter-Herstellungsverfahrens 500 gemäß zumindest einigen Ausführungsformen gezeigt. Weitere Schritte können vor, während und nach dem Verfahren 500 vorgesehen werden, und einige der beschriebenen Schritte können bei weiteren Ausführungsformen des Verfahrens ersetzt, weggelassen oder verschoben werden. Es ist weiterhin zu beachten, dass das Verfahren 500 beispielhaft ist und die vorliegende Erfindung nicht über das hinaus beschränken soll, was ausdrücklich in den nachfolgenden Ansprüchen dargelegt ist. Das Verfahren 500 wird in Verbindung mit den Figuren 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 12A und 12B näher beschrieben. Die 6A, 7A, 8A, 9A, 10A, 11A und 12A zeigen Schnittansichten einer FinFET-Struktur 600 entlang einer Ebene, die im Wesentlichen parallel zu einer Ebene ist, die von der Schnittfläche C - C’ in 1 definiert wird, und die 6B, 7B, 8B, 9B, 10B, 11B und 12B zeigen Schnittansichten der FinFET-Struktur 600 entlang einer Ebene, die im Wesentlichen parallel zu einer Ebene ist, die von der Schnittfläche A - A’ in 1 definiert wird.
  • Bei verschiedenen Ausführungsformen beginnt das Verfahren 500 im Block 502, in dem ein Substrat mit Finnen und Trennbereichen bereitgestellt wird. In dem Beispiel der 6A und 6B und bei einer Ausführungsform des Blocks 502 wird die FinFET-Struktur 600 beschrieben. Die FinFET-Struktur 600 kann ein oder mehrere Strukturelemente aufweisen, die vorstehend unter Bezugnahme auf 1 beschrieben worden sind, wie etwa Finnen-Elemente 604, die von einem Substrat abgehen, Trennbereiche 606 und eine Gate-Struktur 608, die auf den und um die Finnen-Elemente 604 angeordnet ist. Bei einigen Ausführungsformen können die Finnen-Elemente 604 in der vorstehend beschriebenen Weise hergestellt werden. Eine Gate-Struktur 608 kann einen Gate-Stapel umfassen, der eine dielektrische Schicht (die z. B. eine Zwischenschicht und eine dielektrische Gate-Schicht umfasst) und eine Metallschicht hat, die über der dielektrischen Schicht hergestellt ist.
  • Das Verfahren 500 geht zu dem Block 504 weiter, in dem eine erste Austrittsarbeitsmetallschicht abgeschieden wird. Bei einigen Ausführungsformen weist die erste Austrittsarbeitsmetallschicht ein PWFM auf. In dem Beispiel der 6A und 6B und bei einer Ausführungsform des Blocks 504 wird eine Metallschicht, die eine PWFM-Schicht 610 umfasst, über den und um die Finnen-Elemente 604 hergestellt. Nur beispielhaft kann die PWFM-Schicht 610 Ni, Pd, Pt, Be, Ir, Te, Re, Ru, Rh, W, Mo, WN, RuN, MoN, TiN, TaN, WC, TaC, TiC, TiAlN, TaAlN oder Kombinationen davon aufweisen. Bei verschiedenen Ausführungsformen kann die PWFM-Schicht 610 durch PVD, CVD, Elektronenstrahlverdampfung und/oder andere geeignete Verfahren hergestellt werden.
  • Anschließend geht das Verfahren 500 zu dem Block 506 weiter, in dem eine Opfermetallschicht abgeschieden wird und eine chemisch-mechanische Polierung (CMP) durchgeführt wird. Bei einigen Ausführungsformen umfasst die Opfermetallschicht eine Wolfram(W)-Schicht. Bei verschiedenen Ausführungsformen dient die Opfermetallschicht zum Abschwächen der seitlichen Ätzung während eines nachfolgenden Metall-Gate-Schneideprozesses, wie vorstehend dargelegt worden ist. Wie in dem Beispiel der 6A und 6B und bei einer Ausführungsform des Blocks 506 beschrieben wird, wird eine Opfermetallschicht 612 hergestellt, und es wird ein CMP-Prozess durchgeführt, um die Oberseite der Opfermetallschicht 612 zu planarisieren. Die 6A und 6B zeigen auch eine Vielzahl von Gate-Stapeln 615, die einen oder mehrere Seitenwand-Abstandshalterschichten 617 haben können, die auf Seitenwänden der Gate-Stapel 615 hergestellt werden. Die Seitenwand-Abstandshalter können ein dielektrisches Material aufweisen, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxidnitrid oder Kombinationen davon. Darüber hinaus kann bei verschiedenen Ausführungsformen zwischen die einzelnen Gate-Stapel 615 eine dielektrische Schicht 619 geschichtet werden. Bei zumindest einigen Ausführungsformen kann die Opfermetallschicht 612 zum Schützen der dielektrischen Schicht 619 während eines nachfolgenden Metall-Gate-Schneideprozesses dienen.
  • Das Verfahren 500 geht zu dem Block 508 weiter, in dem eine Hartmaskenschicht abgeschieden und strukturiert wird. Bei einigen Ausführungsformen kann die Hartmaskenschicht eine strukturierte Fotoresistschicht umfassen. Alternativ kann bei einigen Ausführungsformen die Hartmaskenschicht (HM) eine strukturierte dielektrische Schicht sein, wie etwa aus Siliziumnitrid (SiN), Siliziumoxidnitrid, Siliziumcarbid oder einem anderen geeigneten Material. In dem Beispiel der 6A und 6B wird eine Hartmaskenschicht 614 abgeschieden. In dem Beispiel der 7A und 7B wird die Hartmaskenschicht 614 strukturiert. Bei einigen Ausführungsformen weist die strukturierte Hartmaskenschicht 614 eine Öffnung 704 auf, die einen später auszuführenden Metall-Gate-Leitungsschnitt definiert. In einigen Fällen kann die Öffnung 704 zum Beispiel einer Metal-Gate-Schneidestruktur entsprechen, der der Metal-Gate-Schneidestruktur 210 von 2 ähnlich ist. Bei verschiedenen Ausführungsformen wird ein Teil der Struktur 600 über einem der Gate-Stapel 615 in der Öffnung 704 freigelegt. Bei einigen Ausführungsformen hat die Öffnung 704 eine größere Breite als ein Abstand zwischen benachbarten Seitenwand-Abstandshaltern des freigelegten Gate-Stapels 615. In einigen Fällen werden dadurch Auflösungsbeschränkungen des lithografischen Prozesses für den Metall-Gate-Leitungs-Schneideprozess abgeschwächt.
  • Das Verfahren 500 geht zu dem Block 510 weiter, in dem ein Metall-Gate-Leitungs-Schneideprozess durchgeführt wird. In dem Beispiel der 8A und 8B und bei einer Ausführungsform des Blocks 510 wird ein Metall-Gate-Leitungs-Schneideprozess durchgeführt. Wie in den 8A und 8B gezeigt ist, kann der Leitungsschneideprozess zum Entfernen von freigelegten Teilen der Opfermetallschicht 612 mit der darunter befindlichen PWFM-Schicht 610 durch die Öffnung 704 verwendet werden, um einen Leitungsschnitt 804 herzustellen. Bei einigen Ausführungsformen reicht der Leitungsschnitt 804 bis zu den Trennbereichen 606 und trennt leitende Gate-Metallschichten in benachbarten Gate-Stapeln wirksam voneinander. Ein Ätzprozess, der zum Durchführen des Metall-Gate-Leitungs-Schneideprozesses des Blocks 510 dient, kann beispielhaft eine Nassätzung, eine Trockenätzung und/oder eine Kombination davon umfassen. Bei einigen Ausführungsformen wird der Ätzprozess so gewählt, dass die Opfermetallschicht 612 und die PWFM-Schicht 610 selektiv geätzt werden, ohne die Seitenwand-Abstandshalter 617 und die dielektrische Schicht 619 wesentlich zu ätzen. Wie vorstehend dargelegt worden ist, ist auf Grund der geringeren Dicke T2 (z. B. im Vergleich zu T1) nur der PWFM-Schicht 610 in dem Schneidebereich bei Ausführungsformen der vorliegenden Erfindung keine signifikante Überätzung der Metallschichten in dem Schneidebereich erforderlich, wie es bei zumindest einigen bestehenden Verfahren der Fall ist. Dadurch kann ein Verlust (z. B. durch Ätzung) einer benachbarten dielektrischen Schicht (z. B. der dielektrischen Schicht 619) vermieden werden. Bei einigen Ausführungsformen kann nach der Herstellung des Leitungsschnitts 804 die strukturierte Hartmaskenschicht 614 mit einem Ätzprozess entfernt werden. In einigen Fällen, in denen die strukturierte Hartmaskenschicht 614 eine strukturierte Fotoresistschicht ist, kann die strukturierte Hartmaskenschicht 614 durch Nass-Strippen und/oder Ablösung durch Plasma-Einwirkung entfernt werden.
  • Das Verfahren 500 geht zu dem Block 512 weiter, in dem eine dielektrische Schicht abgeschieden wird und ein CMP-Prozess durchgeführt wird. In dem Beispiel der 9A und 9B und bei einer Ausführungsform des Blocks 512 wird eine dielektrische Schicht 902 abgeschieden und ein CMP-Prozess wird durchgeführt, um die Oberseite der dielektrischen Schicht 902 zu planarisieren. Bei einigen Ausführungsformen kann die dielektrische Schicht 902 eine Schicht aus Siliziumoxid, Siliziumnitrid, Oxidnitrid und/oder aus einem anderen geeigneten dielektrischen Material sein. Somit kann bei verschiedenen Ausführungsformen die dielektrische Schicht 902 weiterhin zum elektrischen Trennen von Gate-Metallleitungen von benachbarten Gate-Stapeln dienen.
  • In verschiedenen Fällen geht das Verfahren 500 dann zu dem Block 514 weiter, in dem die Opfermetallschicht 612 entfernt wird, wie in dem Beispiel der 10A und 10B gezeigt ist. Bei verschiedenen Ausführungsformen kann die Opfermetallschicht 612 durch Nassätzung, Trockenätzung oder eine Kombination davon entfernt werden. Nach dem Entfernen der Opfermetallschicht 612 geht das Verfahren 500 zu dem Block 516 weiter, in dem eine zweite Austrittsarbeitsmetallschicht abgeschieden wird. Bei einigen Ausführungsformen weist die zweite Austrittsarbeitsmetallschicht ein NWFM auf. In dem Beispiel der 11A und 11B und bei einer Ausführungsform des Blocks 516 wird eine Metallschicht, die eine NWFM-Schicht 1110 umfasst, über der Struktur 600 hergestellt. Nur beispielhaft kann die NWFM-Schicht 1110 Ni, Pd, Pt, Be, Ir, Te, Re, Ru, Rh, W, Mo, WN, RuN, MoN, TiN, TaN, WC, TaC, TiC, TiAlN, TaAlN oder Kombinationen davon aufweisen. Bei verschiedenen Ausführungsformen kann die NWFM-Schicht 1110 durch PVD, CVD, Elektronenstrahlverdampfung und/oder andere geeignete Verfahren hergestellt werden. Bei einigen Ausführungsformen kann nach der Herstellung der NWFM-Schicht 1110 ein Rückätzprozess durchgeführt werden.
  • Bei einigen Ausführungsformen kann nach der Herstellung der NWFM-Schicht 1110 eine Klebstoffschicht über der NWFM-Schicht 1110 abgeschieden werden. Bei einigen Ausführungsformen kann nach der Abscheidung der Klebstoffschicht ein Rückätzprozess durchgeführt werden. Das Verfahren 500 geht dann zu dem Block 518 weiter, in dem eine Metallschicht abgeschieden wird und ein CMP-Prozess durchgeführt wird. In dem Beispiel der 12A und 12B und bei einer Ausführungsform des Blocks 518 wird eine Metallschicht 1202 hergestellt und ein CMP-Prozess wird durchgeführt, um die Oberseite der Metallschicht 1202 zu planarisieren. Bei einigen Ausführungsformen umfasst die Metallschicht 1202 eine Wolfram(W)-Schicht. Alternativ kann bei einigen Ausführungsformen die Metallschicht 1202 andere Metalle aufweisen, wie etwa Ni, Pd, Pt, Be, Ir, Te, Re, Ru, Rh, W, Mo, WN, RuN, MoN, TiN, TaN, WC, TaC, TiC, TiAlN, TaAlN oder Kombinationen davon. Bei Ausführungsformen, die die Klebstoffschicht umfassen, die über der NWFM-Schicht 1110 abgeschieden wird, kann die Metallschicht 1202 auf der Klebstoffschicht abgeschieden werden.
  • Die FinFET-Struktur 600 kann einer weiteren Bearbeitung unterzogen werden, um verschiedene Strukturelemente und Bereiche herzustellen, die auf dem Fachgebiet bekannt sind. Zum Beispiel können bei der nachfolgenden Bearbeitung verschiedene Kontakte, Durchkontaktierungen, Leitungen und Mehrschicht-Verbindungsstrukturen (z. B. Metallschichten und Zwischenschicht-Dielektrika) auf dem Substrat hergestellt werden, die so konfiguriert sind, dass sie die verschiedenen Strukturelemente zu einer funktionellen Schaltung verbinden, die ein oder mehrere FinFET-Bauelemente aufweisen kann. In einem weiteren Beispiel kann eine Mehrschicht-Verbindung vertikale Verbindungen, wie etwa Durchkontaktierungen oder Kontakte, und horizontale Verbindungen umfassen, wie etwa Metallleitungen. Für die verschiedenen Verbindungselemente können verschiedene leitende Materialien, wie etwa Kupfer, Wolfram und/oder Silizide, verwendet werden. In einem Beispiel werden ein Single-Damascene-Prozess und/oder ein Dual-Damascene-Prozess zum Herstellen einer Mehrschicht-Verbindungsstruktur auf Kupferbasis verwendet.
  • Die verschiedenen Ausführungsformen, die hier beschrieben werden, bieten mehrere Vorzüge gegenüber dem Stand der Technik. Es dürfte klar sein, dass hier nicht unbedingt alle Vorzüge erörtert worden sind, kein spezieller Vorzug für alle Ausführungsformen erforderlich ist und weitere Ausführungsformen andere Vorzüge bieten können. Zum Beispiel umfassen Ausführungsformen, die hier erörtert werden, einen Metall-Gate-Schneideprozess, und es wird eine zugehörige Struktur bereitgestellt. Zumindest einige Ausführungsformen der vorliegenden Erfindung können verwendet werden, um den ILD-Verlust (ILD: Zwischenschicht-Dielektrikum) während eines Metall-Gate-Schneideprozesses zu verringern. Bei zumindest einigen bestehenden Verfahren kann zum Beispiel eine Überätzung einer Metall-Gate-Schicht (z. B. während eines Metall-Gate-Schneideprozesses) zu einem solchen unerwünschten ILD-Verlust führen. Um dieses Problem zu entschärfen, stellen Ausführungsformen der vorliegenden Erfindung eine Metall-Gate-Schicht oder mehrere Schichten bereit, die unterschiedliche Dicken auf einer Finne (z. B. bei einem FinFET) und auf einem benachbarten Leitungsschneidebereich haben. Darüber hinaus kann zumindest bei einigen Ausführungsformen ein Opfermetallteil verwendet werden, um eine seitliche Ätzung während eines Metallleitungs-Schneideprozesses zu vermeiden, wodurch ein ILD-Verlust wirksam vermieden wird. Somit dienen Ausführungsformen der vorliegenden Erfindung dazu, verschiedene Nachteile zumindest einiger herkömmlicher Resist-Zusammensetzungen und Verfahren zu überwinden.
  • Bei einer der Ausführungsformen der vorliegenden Erfindung wird ein Verfahren beschrieben, das das Herstellen einer ersten Finne und einer zweiten Finne auf einem Substrat umfasst. Bei verschiedenen Ausführungsformen hat die erste Finne einen ersten Gate-Bereich, und die zweite Finne hat einen zweiten Gate-Bereich. Beispielhaft wird über dem ersten und dem zweiten Gate-Bereich eine Metall-Gate-Leitung hergestellt. Bei einigen Ausführungsformen verläuft die Metall-Gate-Leitung von der ersten Finne zu der zweiten Finne, und die Metall-Gate-Leitung weist einen Opfermetallteil auf. In verschiedenen Beispielen wird ein Leitungsschneideprozess durchgeführt, um die Metall-Gate-Leitung in eine erste Metall-Gate-Leitung und eine zweite Metall-Gate-Leitung zu trennen. Bei einigen Ausführungsformen wird durch den Opfermetallteil eine seitliche Ätzung einer dielektrischen Schicht während des Leitungsschneideprozesses vermieden.
  • Bei weiteren Ausführungsformen wird ein Verfahren erörtert, das das Herstellen einer Vielzahl von Finnen-Elementen umfasst, die von einem Substrat abgehen. Bei verschiedenen Ausführungsformen weisen die Finnen-Elemente jeweils einen Gate-Bereich auf, und zwischen den einzelnen benachbarten Gate-Bereichen ist eine dielektrische Schicht angeordnet. In einigen Fällen wird über dem Gate-Bereich jedes der Vielzahl von Finnen-Elementen jeweils eine erste Austrittsarbeitsmetallschicht abgeschieden. Anschließend wird bei einigen Ausführungsformen eine Opfermetallschicht über der ersten Austrittsarbeitsmetallschicht hergestellt. Bei einigen Ausführungsformen wird nach der Herstellung der Opfermetallschicht ein Schneidebereich unter Verwendung einer strukturierten Hartmaskenschicht definiert, wobei die strukturierte Hartmaskenschicht eine Öffnung aufweist, die dem definierten Schneidebereich entspricht. In verschiedenen Beispielen wird dann ein Ätzprozess durch die Öffnung in der strukturierten Hartmaskenschicht durchgeführt, um die Opfermetallschicht und die erste Austrittsarbeitsmetallschicht zu entfernen. Bei einigen Ausführungsformen wird durch die Opfermetallschicht das Entfernen der dielektrischen Schicht während der Durchführung des Ätzprozesses vermieden.
  • Bei noch weiteren Ausführungsformen wird ein Halbleiter-Bauelement erörtert, das eine erste Finne und eine zweite Finne aufweist, die von einem Substrat abgehen, wobei die erste Finne einen ersten Gate-Bereich hat und die zweite Finne einen zweiten Gate-Bereich hat. Bei einigen Ausführungsformen weist das Bauelement außerdem eine erste Metallschicht auf, die über dem ersten Gate-Bereich und entlang einer ersten Seitenwand auf einer ersten Seite einer dielektrischen Schicht angeordnet ist, wobei die dielektrische Schicht in einem Leitungsschneidebereich hergestellt ist. Darüber hinaus kann das Bauelement eine zweite Metallschicht aufweisen, die über dem zweiten Gate-Bereich und entlang einer zweiten Seitenwand gegenüber der ersten Seitenwand auf einer zweiten Seite der dielektrischen Schicht angeordnet ist. Bei verschiedenen Ausführungsformen hat die erste Metallschicht eine erste Dicke über dem ersten Gate-Bereich und eine zweite Dicke entlang der ersten Seitenwand auf der ersten Seite der dielektrischen Schicht.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung, mit den folgenden Schritten: Herstellen einer ersten Finne und einer zweiten Finne auf einem Substrat, wobei die erste Finne einen ersten Gate-Bereich aufweist und die zweite Finne einen zweiten Gate-Bereich aufweist; Herstellen einer Metall-Gate-Leitung über dem ersten und dem zweiten Gate-Bereich, wobei die Metall-Gate-Leitung von der ersten Finne zu der zweiten Finne verläuft und die Metall-Gate-Leitung einen Opfermetallteil aufweist; und Durchführen eines Leitungsschneideprozesses, um die Metall-Gate-Leitung in eine erste Metall-Gate-Leitung und eine zweite Metall-Gate-Leitung zu trennen, wobei der Opfermetallteil eine seitliche Ätzung einer dielektrischen Schicht während des Leitungsschneideprozesses verhindert.
  2. Verfahren nach Anspruch 1, wobei das Durchführen des Leitungsschneideprozesses die folgenden Schritte umfasst: Herstellen einer strukturierten Hartmaske über der Metall-Gate-Leitung, wobei die strukturierte Hartmaske eine Öffnung definiert; und Ätzen der Metall-Gate-Leitung durch die Öffnung.
  3. Verfahren nach Anspruch 1 oder 2, wobei der Opfermetallteil eine Wolfram(W)-Opferschicht umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Metall-Gate-Leitung ein n-leitendes Austrittsarbeitsmetall (NWFM) aufweist und der Leitungsschneideprozess vor einer Abscheidung des n-leitenden Austrittsarbeitsmetalls (NWFM) durchgeführt wird.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Metall-Gate-Leitung ein p-leitendes Austrittsarbeitsmetall (PWFM) aufweist und der Leitungsschneideprozess nach einer Abscheidung des p-leitenden Austrittsarbeitsmetalls (PWFM) durchgeführt wird.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei der Leitungsschneideprozess einen Nassätzprozess umfasst.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei durch den Leitungsschneideprozess ein Leitungsschneidebereich, der Leitungsschneidebereich-Seitenwände hat, hergestellt wird, wobei die Metall-Gate-Leitung eine erste Dicke jeweils über dem ersten und dem zweiten Gate-Bereich hat und eine zweite Dicke an jeder der Leitungsschneidebereich-Seitenwände hat.
  8. Verfahren nach Anspruch 7, wobei die zweite Dicke kleiner als die erste Dicke ist.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Metall-Gate-Leitung ein p-leitendes Austrittsarbeitsmetall (PWFM) und ein n-leitendes Austrittsarbeitsmetall (NWFM) aufweist, die jeweils über dem ersten und dem zweiten Gate-Bereich abgeschieden werden, und die Metall-Gate-Leitung das n-leitende Austrittsarbeitsmetall (NWFM) aufweist, das an jeder der Leitungsschneidebereich-Seitenwände abgeschieden wird.
  10. Verfahren mit den folgenden Schritten: Herstellen einer Vielzahl von Finnen-Elementen, die sich von einem Substrat erstrecken, wobei jedes der Vielzahl von Finnen-Elementen einen Gate-Bereich aufweist, wobei eine dielektrische Schicht zwischen je benachbarten Gate-Bereichen angeordnet ist; Abscheiden einer ersten Austrittsarbeitsmetallschicht über dem Gate-Bereich jedes der Vielzahl von Finnen-Elementen; Herstellen einer Opfermetallschicht über der ersten Austrittsarbeitsmetallschicht; nach dem Herstellen der Opfermetallschicht Definieren eines Schneidebereichs unter Verwendung einer strukturierten Hartmaskenschicht, wobei die strukturierte Hartmaskenschicht eine Öffnung aufweist, die dem definierten Schneidebereich entspricht; und Durchführen eines Ätzprozesses durch die Öffnung in der strukturierten Hartmaskenschicht, um die Opfermetallschicht und die erste Austrittsarbeitsmetallschicht zu entfernen, wobei die Opfermetallschicht das Entfernen der dielektrischen Schicht während des Durchführens des Ätzprozesses vermeidet.
  11. Verfahren nach Anspruch 10, das weiterhin die folgenden Schritte umfasst: nach dem Durchführen des Ätzprozesses Abscheiden einer dielektrischen Schicht in einem Leitungsschnitt, der durch den Ätzprozess hergestellt worden ist; und Entfernen der Opfermetallschicht.
  12. Verfahren nach Anspruch 11, das weiterhin den folgenden Schritt umfasst: Abscheiden einer zweiten Austrittsarbeitsmetallschicht über der ersten Austrittsarbeitsmetallschicht und zumindest teilweise über einer Seitenwand der dielektrischen Schicht, die in dem Leitungsschnitt abgeschieden worden ist.
  13. Verfahren nach Anspruch 12, wobei die zweite Austrittsarbeitsmetallschicht ein n-leitendes Austrittsarbeitsmetall (NWFM) aufweist.
  14. Verfahren nach einem der Ansprüche 10 bis 13, das weiterhin die folgenden Schritte umfasst: Abscheiden einer Klebstoffschicht über dem Gate-Bereich jedes der Vielzahl von Finnen-Elementen; und Herstellen einer Metallschicht über der Klebstoffschicht.
  15. Verfahren nach einem der Ansprüche 10 bis 14, wobei die erste Austrittsarbeitsmetallschicht ein p-leitendes Austrittsarbeitsmetall (PWFM) aufweist.
  16. Verfahren nach einem der Ansprüche 10 bis 15, wobei die strukturierte Hartmaskenschicht eine größere Breite als ein Abstand zwischen benachbarten Seitenwand-Abstandshaltern eines freigelegten Gate-Stapels hat.
  17. Halbleitervorrichtung mit: einer ersten Finne und einer zweiten Finne, die sich von einem Substrat erstrecken, wobei die erste Finne einen ersten Gate-Bereich aufweist und die zweite Finne einen zweiten Gate-Bereich aufweist; einer ersten Metallschicht, die über dem ersten Gate-Bereich und entlang einer ersten Seitenwand auf einer ersten Seite einer dielektrischen Schicht angeordnet ist, wobei die dielektrische Schicht in einem Leitungsschneidebereich hergestellt ist; und einer zweiten Metallschicht, die über dem zweiten Gate-Bereich und entlang einer zweiten Seitenwand entgegengesetzt der ersten Seitenwand auf einer zweiten Seite der dielektrischen Schicht angeordnet ist, wobei die erste Metallschicht eine erste Dicke über dem ersten Gate-Bereich und eine zweite Dicke entlang der ersten Seitenwand auf der ersten Seite der dielektrischen Schicht hat.
  18. Halbleitervorrichtung nach Anspruch 17, wobei die zweite Dicke kleiner als die erste Dicke ist.
  19. Halbleitervorrichtung nach Anspruch 17 oder 18, wobei die zweite Metallschicht die erste Dicke über dem zweiten Gate-Bereich und die zweite Dicke entlang der zweiten Seitenwand auf der zweiten Seite der dielektrischen Schicht hat.
  20. Halbleitervorrichtung nach Anspruch 17, 18 oder 19, wobei die erste und die zweite Metallschicht sowohl ein p-leitendes Austrittsarbeitsmetall (PWFM) als auch ein n-leitendes Austrittsarbeitsmetall (NWFM) jeweils über dem ersten und dem zweiten Gate-Bereich aufweisen und die erste und die zweite Metallschicht das n-leitende Austrittsarbeitsmetall (NWFM) aufweisen, das jeweils auf der ersten und der zweiten Seitenwand der dielektrischen Schicht abgeschieden ist.
DE102017116224.0A 2016-12-22 2017-07-19 Metall-Gate-Struktur und zugehörige Verfahren Pending DE102017116224A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662438398P 2016-12-22 2016-12-22
US62/438,398 2016-12-22
US15/649,865 US10079289B2 (en) 2016-12-22 2017-07-14 Metal gate structure and methods thereof
US15/649,865 2017-07-14

Publications (1)

Publication Number Publication Date
DE102017116224A1 true DE102017116224A1 (de) 2018-06-28

Family

ID=62510324

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017116224.0A Pending DE102017116224A1 (de) 2016-12-22 2017-07-19 Metall-Gate-Struktur und zugehörige Verfahren

Country Status (1)

Country Link
DE (1) DE102017116224A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113053853A (zh) * 2020-03-31 2021-06-29 台湾积体电路制造股份有限公司 半导体器件和制造半导体器件的方法
CN113707720A (zh) * 2020-08-07 2021-11-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113053853A (zh) * 2020-03-31 2021-06-29 台湾积体电路制造股份有限公司 半导体器件和制造半导体器件的方法
CN113053853B (zh) * 2020-03-31 2023-12-08 台湾积体电路制造股份有限公司 半导体器件和制造半导体器件的方法
CN113707720A (zh) * 2020-08-07 2021-11-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN113707720B (zh) * 2020-08-07 2023-08-29 台湾积体电路制造股份有限公司 半导体器件及其制造方法

Similar Documents

Publication Publication Date Title
DE102019106654B4 (de) Integrierte Schaltkreise mit vergrabenen Verbindungsleitern und Verfahren zu deren Herstellung
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102015114790B4 (de) Verfahren und Struktur für eine Halbleitervorrichtung mit einer Gatespacer-Schutzschicht
DE102019126237B4 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102015112913B4 (de) Halbleiterbauelement und Herstellungsverfahren hierfür
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102019116606B4 (de) Multi-gate-vorrichtung und zugehörige verfahren
DE102015100165A1 (de) Verfahren und struktur für finfet-isolierung
DE102016115991A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102017100394A1 (de) FinFET-Struktur und entsprechende Verfahren
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102017127542A1 (de) Struktur und verfahren für einen gate-isolierstecker
DE102018124814A1 (de) Metall-Gate-Struktur und Verfahren zu ihrer Herstellung
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102018102685A1 (de) Kontaktbildungsverfahren und zugehörige Struktur
DE102016114923A1 (de) Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102018106266A1 (de) Gate-struktur und verfahren zu ihrer herstellung
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102019119807B4 (de) Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement
DE102017116224A1 (de) Metall-Gate-Struktur und zugehörige Verfahren
DE102020121511A1 (de) Verfahren zur herstellung einer halbleitervorrichtung und eine halbleitervorrichtung
DE102019116063B4 (de) Source/drain-isolationsstruktur und verfahren dafür

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication