DE112007001725T5 - Soi-Bauelement und Verfahren zu dessen Herstellung - Google Patents

Soi-Bauelement und Verfahren zu dessen Herstellung Download PDF

Info

Publication number
DE112007001725T5
DE112007001725T5 DE112007001725T DE112007001725T DE112007001725T5 DE 112007001725 T5 DE112007001725 T5 DE 112007001725T5 DE 112007001725 T DE112007001725 T DE 112007001725T DE 112007001725 T DE112007001725 T DE 112007001725T DE 112007001725 T5 DE112007001725 T5 DE 112007001725T5
Authority
DE
Germany
Prior art keywords
layer
semiconductor substrate
plate
capacitor
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112007001725T
Other languages
English (en)
Other versions
DE112007001725B4 (de
Inventor
Mario M. Mountain View Pelella
Donggang D. Austin Wu
James F. Austin Buller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE112007001725T5 publication Critical patent/DE112007001725T5/de
Application granted granted Critical
Publication of DE112007001725B4 publication Critical patent/DE112007001725B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)

Abstract

Verfahren zur Herstellung eines Halbleiter-auf-Isolator-(SOI)-Bauelements (53), das ein Halbleitersubstrat (34), eine vergrabene isolierende Schicht (32) über dem Halbleitersubstrat und eine monokristalline Halbleiterschicht (30) über der vergrabenen isolierenden Schicht aufweist, wobei das Verfahren die Schritte umfasst:
Bilden eines MOS-Kondensators (52), der zwischen einer ersten Spannungsbusleitung (100) und einer zweiten Spannungsbusleitung (102) angeschlossen ist, wobei der MOS-Kondensator ein Gate-Elektrodenmaterial aufweist, das eine erste Platte (64) des MOS-Kondensators bildet und mit der ersten Spannungsbusleitung (100) verbunden ist, und ein dotiertes Gebiet (60) in der monokristallinen Halbleiterschicht unter dem Gate-Elektrodenmaterial aufweist, das eine zweite Platte des MOS-Kondensators bildet und mit der zweiten Busleitung (102) verbunden ist; und
Bilden eines elektrischen Entladungspfades (86, 98, 180, 178), der die erste Platte (64) des MOS-Kondensators (52) mit einer Diode (177), die in dem Halbleitersubstrat (34) gebildet ist, verbindet.

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung betrifft im Allgemeinen ein Halbleiter-auf-Isolator-(SOI)Bauelement und betrifft Verfahren zur Herstellung eines derartigen Bauelements und betrifft insbesondere SOI-Bauelemente und Verfahren zur Herstellung von SOI-Bauelementen mit einem Entladungspfad für einen Entkopplungskondensator.
  • HINTERGRUND
  • Die Mehrheit der aktuellen integrierten Schaltungen (ICs) wird hergestellt durch Anwendung einer Vielzahl von miteinander verbundenen Feldeffekttransistoren (FETs), die auch als Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFET oder MOS-Transistoren) bezeichnet werden. Die ICs werden für gewöhnlich unter Anwendung von sowohl P-Kanal-FETs (PMOS-Transistoren oder PFETS) als auch N-Kanal-FETS (NMOS-Transistoren oder NFETs) hergestellt, und das IC wird dann als eine komplementäre MOS- oder CMOS-Schaltung bezeichnet. Gewisse Verbesserungen im Leistungsverhalten der MOS-ICs können verwirklicht werden, indem die MOS-Transistoren in einer dünnen Schicht aus Halbleitermaterial hergestellt werden, die über einer Isolatorschicht liegt. Derartige Halbleiter-auf-Isolator-(SOI)MOS-Transistoren weisen beispielsweise eine geringere PN-Übergangskapazität und damit eine höhere Arbeitsgeschwindigkeit auf.
  • Die MOS-Transistoren, die in und auf der SOI-Schicht hergestellt sind, sind miteinander verbunden, um die gewünschte Schaltungsfunktion zu verwirklichen. Es sind auch eine Reihe von Spannungsbusleitungen mit geeigneten Bauelementen verbunden, um diese Bauelemente entsprechend der Schaltungsfunktion zu versorgen. Die Spannungsbusleitungen enthalten beispielsweise einen Vdd-Bus, einen Vcc-Bus, einen Vss-Bus und dergleichen, und können auch Busleitungen aufweisen, die mit externen Versorgungsspannungen verbunden sind, sowie Busleitungen, die mit intern erzeugten oder intern geänderten Versorgungsspannungsquellen verbunden sind. Im hierin verwendeten Sinne bezeichnen die Begriffe "Vdd-Bus" und "Vcc-Bus" sowie "Spannungsbus bzw. Spannungsbusleitung" und dergleichen externe sowie interne Busse bzw. Busleitungen. Da diverse Schaltungsknoten in der Schaltung aufgeladen oder entladen werden während des Betriebs der Schaltung, müssen die diversen Busleitungen Strom in diese Schaltungsknoten einspeisen oder davon aufnehmen. Insbesondere, wenn die Schaltgeschwindigkeit der integrierten Schaltungen anwächst, kann das Erfordernis des Einspeisens oder Aufnehmens von Strom durch einen Bus zu deutlichen Spannungsspitzen auf der Busleitung aufgrund der inhärenten Induktivität der Busleitung führen. Es ist daher eine übliche Praxis, Entkopplungskondensatoren zwischen den Busleitungen anzuordnen, um Logikfehler zu vermeiden, die durch die Spannungsspitzen hervorgerufen werden könnten. Beispielsweise sind derartige Entkopplungskondensatoren zwischen der Vdd-Busleitung und der Vss-Busleitung angeordnet. Diese Entkopplungskondensatoren sind typischerweise entlang der gesamten Länge der Busleitungen verteilt. Die Kondensatoren sind üblicherweise aber nicht notwendigerweise als MOS-Kondensatoren ausgebildet, wobei eine Kondensatorplatte des Kondensators aus dem gleichen Material hergestellt ist, das zur Herstellung der Gate-Elektrode der MOS-Transistoren verwendet wird, während die andere Platte des Kondensators aus einem dotierten Gebiet in der SOI-Schicht aufgebaut ist, und wobei das die beiden Elektroden trennende Dielektrikum des Kondensators durch das Gate-Dielektrikum gebildet ist.
  • Ein Problem, das die Ausbeute und die Zuverlässigkeit der integrierten Schaltung beeinflussen kann, kann auftreten, wenn derartige MOS-Kondensatoren als Entkopplungskondensatoren zwischen den Spannungsbusleitungen verwendet werden. Die Problematik tritt auf, da sich während der Herstellung des ICs eine ausgeprägte Ladung auf einem Kondensator ansammeln kann, so dass eine schädigende Entladung über das Kondensator-Dielektrikumsmaterial auftreten kann. Diese Problematik tritt noch stärker zutage, wenn die Bauteilstrukturgrößen abnehmen und insbesondere, wenn die Dicke der Gate-Dielektrikumsschicht reduziert ist. Der Ladungsaufbau ergibt sich durch einen oder mehrere Plasmaabscheide- und/oder Ätzschritte, die verwendet werden, um dielektrische Zwischenschichtmaterialien und die Metalle oder andere leitende Materialien, die in den abschließenden Schritten der Herstellung der integrierten Schaltung verwendet werden, abzuscheiden und/oder zu ätzten.
  • Daher ist es wünschenswert, ein MOS-Bauelement und Verfahren zur Herstellung derartiger MOS-Bauelemente bereitzustellen, die die schädigende Wirkung der Ladungsansammlung in Entkopplungskondensatoren vermeiden. Des Weiteren ist es eine Aufgabe, Verfahren zur Herstellung eines SOI-Bauelements bereitzustellen, in denen Entkopplungskondensatoren und ein Entladungspfad zum Schutz der Entkopplungskondensatoren vorgesehen werden. Andere vorteilhafte Merkmale und Eigenschaften der vorliegenden Erfindung gehen aus der folgenden detaillierten Beschreibung und den angefügten Patentansprüchen hervor, wenn diese in Verbindung mit den begleitenden Zeichnungen und dem vorhergehenden technischen Gebiet und dem Hintergrund studiert werden.
  • KURZER ÜBERBLICK ÜBER DIE ERFINDUNG
  • Es wird ein Silizium-auf-Isolator-(SOI) Bauelement bereitgestellt, das einen MOS-Kondensator aufweist, der zwischen Spannungsbusleitungen angeschlossen ist und der in einer monokristallinen Halbleiterschicht, die über einer Isolatorschicht und einem Halbleitersubstrat angeordnet ist, hergestellt ist. Das Bauelement umfasst mindestens einen elektrischen Entladungspfad zum Entladen einer potenziell schädigenden Ladungsansammlung auf dem MOS-Kondensator. Der MOS-Kondensator besitzt ein leitendes Elektrodenmaterial, das eine erste Platte des MOS-Kondensators bildet, und ein dotiertes Gebiet in der monokristallinen Siliziumschicht unterhalb des leitenden Elektrodenmaterials, das eine zweite Platte bildet. Eine erste Spannungsbusleitung ist mit der ersten Platte des Kondensators und mit einem elektrischen Entladungspfad über eine Diode, die in dem Halbleitersubstrat gebildet ist, verbunden. Eine zweite Spannungsbusleitung ist mit der zweiten Platte des Kondensators verbunden.
  • Es wird ein Verfahren zur Herstellung eines Silizium-auf-Isolator-(SOI)Bauelements bereitgestellt, das ein Siliziumsubstrat, eine vergrabene isolierende Schicht über dem Siliziumsubstrat und eine monokristalline Siliziumschicht über der vergrabenen isolierenden Schicht aufweist. Das Verfahren umfasst gemäß einer Ausführungsform der Erfindung das Bilden eines dielektrischen Isolationsgebietes, das sich durch die monokristalline Halbleiterschicht zu der vergrabenen isolierenden Schicht erstreckt. Es wird eine Öffnung derart geätzt, dass diese sich durch das dielektrische Isolationsgebiet und die vergrabene isolierende Schicht erstreckt, um einen Teil des Halbleitersubstrats freizulegen. Der freigelegte Bereich des Halbleitersubstrats wird mit Dotierstoffen dotiert, um eine Diode mit einem PN-Übergang in dem Halbleitersubstrat zu bilden. Ein Teil der monokristallinen Halbleiterschicht wird mit Dotiermitteln dotiert, um eine erste Platte eines Kondensators zu bilden, und eine Isolatorschicht wird über dem Bereich der monokristallinen Halbleiterschicht hergestellt. Es wird eine leitende Elektrode über der Isolatorschicht gebildet, um eine zweite Platte des Kondensators zu erzeugen. Ein erster Bus wird mit der zweiten Platte des Kondensators und der Diode verbunden und ein zweiter Bus wird mit der ersten Platte des Kondensators verbunden.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Erfindung wird im Weiteren in Verbindung mit den folgenden Zeichnungen beschrieben, wobei gleiche Bezugszeichen gleiche Elemente bezeichnen, und wobei:
  • 1 als Teilquerschnitt einen Bereich eines konventionellen Entkopplungskondensators zeigt; und
  • 211 im Querschnitt Verfahrensschritte zur Herstellung einer integrierten SOI-Schaltung gemäß diverser Ausführungsformen der vorliegenden Erfindung zeigen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende detaillierte Beschreibung ist lediglich beispielhafter Natur und soll die Erfindung oder die Anwendung und die Verwendungszwecke der Erfindung nicht beschränken. Ferner ist keine Beschränkung auf explizit dargelegte oder implizierte Theorien beabsichtigt, die in dem vorhergehenden technischen Gebiet, dem Hintergrund, dem kurzen Überblick oder der folgenden detaillierten Beschreibung dargestellt sind.
  • 1 zeigt als Teilquerschnitt Elemente einer konventionellen Entkopplungskondensatorstruktur 20, die in einem Bereich einer integrierten Silizium-auf-Isolator-(SOI)Schaltungs(IC)Bauteilstruktur eingerichtet ist. Eine derartige IC-Struktur kann eine Vielzahl verteilter MOS-Kondensatoren 22 enthalten (von denen lediglich ein einzelner dargestellt ist), wovon jeder eine obere Platte 24, eine untere Platte 26 und ein Kondensator-Dielektrikum 28 enthält. Die obere Platte 24 ist im Allgemeinen aus dem gleichen Material hergestellt, wie es auch für die Gate-Elektroden der MOS-Transistoren verwendet wird, die den Rest des ICs repräsentieren. Das Kondensator-Dielektrikum 28 ist im Allgemeinen aus dem gleichen Material aufgebaut, das für das Gate-Dielektrikum der MOS-Transistoren des ICs verwendet wird. Die untere Platte 26 ist aus einer dünnen Schicht 30 aus Silizium gebildet, die über einem Isolator 32 liegt, der wiederum über dem Halbleitersubstrat 34 angeordnet ist. In dieser anschaulichen Darstellung ist die Schicht 30 aus Silizium aufgebaut und ist N-dotiert. Stark dotierte N+-Kontakte 36, die selbst justiert zu den oberen Platten 24 gebildet sind, ermöglichen einen Ohmschen Kontakt zu der Schicht 30. Ein Zwischenschicht-Dielektrikum 38 ist über den Kondensatorstrukturen angeordnet und isoliert elektrisch die Kondensatoren von anderen Schichten der Metallisierung, die zur gegenseitigen Verbindung der Bauelemente des ICs verwendet wird. Ein Bus bzw. eine Busleitung, etwa ein Vdd-Bus 40, ist mit den oberen Platten 24 durch Metallkontakte 42 verbunden, die in Öffnungen 44 durch das Zwischenschicht-Dielektrikum 38 hindurch ausgebildet sind. Ein Bus, etwa ein Vss-Bus 46, ist mit der unteren Platte 26 mittels Metallkontakten 48 verbunden, die in Öffnungen 50 in dem Zwischenschicht-Dielektrikum 38 gebildet sind und eine Verbindung zu den N+-Kontakten 36 herstellen. Für jede der Busleitungen werden üblicherweise mehrere metallisierte Kontakte verwendet, um einen guten Kontakt zwischen der Busleitung und der entsprechenden Platte des Kondensators sicherzustellen. Ferner sind auch mehrere Kondensatorstrukturen zwischen den beiden Busleitungen angeschlossen, und derartige Kondensatorstrukturen sind über die integrierte Schaltung hinweg verteilt angeordnet.
  • 211 zeigen im Querschnitt Verfahrensschritte zur Herstellung eines Teils eines Entkopplungskondensators 52 als Teil einer integrierten Silizium-auf-Isolator-CMOS-Schaltung 53 gemäß einer Ausführungsform der Erfindung. Gemäß einer Ausführungsform der Erfindung, wie dies nachfolgend detaillierter erläutert ist, enthält der Entkopplungskondensator 52 zumindest einen Entladungspfad, über welchen Ladung, die sich auf dem Kondensator oder einem nicht geerdeten Schaltungsknoten in der Schaltung während der Bearbeitung aufbaut, zuverlässig abgeführt werden kann, um eine Schädigung oder Zerstörung des Kondensator-Dielektrikums zu vermeiden. Obwohl der Begriff "MOS-Bauelement" eigentlich ein Bauelement bezeichnet, das eine Metall-Gate-Elektrode und einen Gate-Isolator aus Oxid aufweist, wird der Begriff durchwegs verwendet, um ein beliebiges Halbleiterbauelement zu bezeichnen, das eine leitende Gate-Elektrode (unabhängig davon, ob diese aus Metall oder einem anderen leitenden Material aufgebaut ist) enthält, die über einem Gate-Isolator angeordnet ist (aus Oxid oder einem anderen Isolatormaterial), der wiederum über einem Halbleitersubstrat positioniert ist. In diesen anschaulichen Ausführungsformen ist lediglich ein kleiner Bereich der integrierten CMOS-Schaltung 53 dargestellt, und insbesondere der Bereich der Schaltung, in welchem der Entkopplungskondensator 52 zusätzlich zu einem einzelnen N-Kanal-MOS-Transistor (NMOS-Transistor) und einem einzelnen P-Kanal-MOS-Transistor (PMOS-Transistor) hergestellt wird. Diverse Schritte der Herstellung von CMOS-Bauelementen sind gut bekannt und so werden der Kürze halber viele konventionelle Schritte lediglich kurz erwähnt oder deren Beschreibung wird vollständig weggelassen, ohne dass die gut bekannten Prozessdetails erläutert werden. Obwohl in dieser anschaulichen Ausführungsform die integrierte Schaltung als eine CMOS-Schaltung beschrieben ist, ist die Erfindung auch auf die Herstellung einer Einzelkanal-MOS-Schaltung anwendbar. Diese Anmeldung steht im Zusammenhang mit der anhängigen Anmeldung mit der Nr. 11/133 969, deren Offenbarung hiermit durch Bezugnahme in ihrer Gesamtheit miteingeschlossen ist.
  • Wie in 2 gezeigt ist, beginnt das Verfahren gemäß einer Ausführungsform der Erfindung durch Bereitstellen eines Halbleitersubstrats 54. Das Halbleitersubstrat ist vorzugsweise ein Siliziumsubstrat mit einer monokristallinen Siliziumschicht 30, die über einem monokristallinen Siliziumträgersubstrat 34 ausgebildet ist. Im hierin verwendeten Sinne werden die Begriffe "Siliziumschicht" und "Siliziumsubstrat" so verwendet, dass damit das relativ reine oder leicht mit Verunreinigungen dotierte monokristalline Siliziummaterial, das typischerweise in der Halbleiterindustrie verwendet wird, sowie eine Siliziummischung mit anderen Elementen, etwa Germanium, Kohlenstoff und dergleichen zur Herstellung eines im Wesentlichen monokristallinen Halbleitermaterials, gemeint ist. Zur Vereinfachung der Beschreibung aber ohne eine Einschränkung damit vorzunehmen, werden die Halbleitermaterialien im Allgemeinen hierin als Siliziummaterialien bezeichnet. Die monokristalline Siliziumschicht 30 wird bei der Herstellung von N-Kanal- und P-Kanal-MOS-Transistoren sowie des Entkopplungskondensators 52 verwendet. Das monokristalline Siliziumsubstrat 34 dient als Träger für die monokristalline Siliziumschicht 30 und wird gemäß einer Ausführungsform der Erfindung für die Herstellung von Entladungspfaden zum Abführen von potenziell schädigenden aufgebauten Ladungen auf dem Entkopplungskondensator 52 verwendet. Die monokristalline Siliziumschicht 30 wird mit dem monokristallinen Siliziumträgersubstrat 34 durch gut bekannte Scheibenverbindungs- und Dünnungstechniken verbunden, wobei eine dielektrische isolierende Schicht 32 die monokristalline Siliziumschicht 30 von dem monokristallinen Trägersubstrat 34 trennt. Die monokristalline Siliziumschicht wird auf eine Dicke von ungefähr 50–300 Nanometer (nm) abhängig von der eingerichteten Schaltungsfunktion gedünnt. Sowohl die monokristalline Siliziumschicht als auch das monokristalline Siliziumträgersubstrat besitzen vorzugsweise einen spezifischen Widerstand von zumindest ungefähr 1–35 Ohm pro Quadrat. Gemäß einer Ausführungsform der Erfindung ist die dünne Siliziumschicht 30 N-dotiert und das monokristalline Trägersubstrat 34 ist P-dotiert. Die dielektrische isolierende Schicht 32, die typischerweise Siliziumdioxid ist, besitzt vorzugsweise eine Dicke von ungefähr 50–200 nm.
  • Als eine Alternative zu Scheibenverbindungstechniken kann das monokristalline Halbleitersubstrat 54 durch den SIMOX-Prozess hergestellt werden. Der SIMOX-Prozess ist ein bekannter Prozess, in welchem Sauerstoffionen in das Gebiet unterhalb der Oberfläche des monokristallinen Siliziumsubstrats 34 eingebracht werden. Das monokristalline Siliziumsubstrat und der implantierte Sauerstoff werden nachfolgend erhitzt, um eine dielektrische Siliziumoxidschicht 32 unterhalb der Oberfläche zu bilden, die elektrisch den oberen Bereich des Substrats, d. h. die SOI-Schicht 30, von dem verbleibenden Teil des monokristallinen Siliziumsubstrats 34 trennt. Die Dicke der SOI-Schicht 30 ist durch die Energie der implantierten Ionen bestimmt. Unabhängig von dem Verfahren, das zur Herstellung der SOI-Schicht angewendet wird, wird die dielektrische Schicht 32 üblicherweise als ein vergrabenes Oxid oder "BOX" bezeichnet und wird auch im Folgenden so genannt.
  • Nach dem Bereitstellen eines Halbleitersubstrats 54 geht das Verfahren gemäß einer Ausführungsform der Erfindung weiter, wie dies in 3 gezeigt ist, indem dielektrische Isolationsgebiete 5658 hergestellt werden, die sich durch die monokristalline Siliziumschicht 30 zu der dielektrischen Schicht oder BOX 32 erstrecken. Die dielektrischen Isolationsgebiete sind vorzugsweise durch gut bekannte flache Grabenisolations-(STI)Techniken hergestellt, in denen Gräben in die monokristalline Siliziumschicht 30 geätzt werden, diese Gräben dann mit einem dielektrischen Material, etwa abgeschiedenem Siliziumdioxid, gefüllt werden und das überschüssige Siliziumdioxid dann durch CMP entfernt wird. Bekanntlich gibt es viele Prozesse, die angewendet werden können, um die STI zu bilden, so dass der Prozess hierin nicht detailliert beschrieben werden muss. In diesem anschaulichen Beispiel sind lediglich ein einzelner N-Kanal-MOS-Transistor 300, ein einzelner P-Kanal-MOS-Transistor 200 und ein einzelner Entkopplungskondensator 52 dargestellt. Der Fachmann erkennt, dass viele andere Bauelemente erforderlich sein können, um eine gewünschte Schaltungsfunktion mit einer Vielzahl von N-Kanal-MOS-Transistoren, einer Vielzahl von P-Kanal-MOS-Transistoren und einer Vielzahl von Entkopplungskondensatoren einzurichten. Folglich können zusätzliche STI-Gebiete (nicht gezeigt) gebildet werden, um nach Bedarf eine elektrische Trennung zwischen den diversen anderen Bauelementen der CMOS-Schaltung zu erhalten, die in und auf der monokristallinen Siliziumschicht 30 hergestellt wird.
  • Gemäß einer Ausführungsform der Erfindung kann der Bereich 60 der dünnen monokristallinen Siliziumschicht 30 zwischen den dielektrischen Isolationsgebieten 56 und 57 N-dotiert werden. Die N-Dotierung kann die ursprüngliche Dotierung der Schicht 30 sein oder kann eine nachfolgend durch Ionenimplantation erzeugte Dotierung oder dergleichen sein. Der Bereich 60 der dünnen monokristallinen Siliziumschicht 30 bildet die untere Platte des Entkopplungskondensators 52. In ähnlicher Weise kann der Bereich 61 der dünnen monokristallinen Siliziumschicht 30 zwischen den dielektrischen Isolationsgebieten 57 und 58 ebenfalls N-dotiert werden. Der Bereich 61 wird für die Herstellung eines P-Kanal-Transistors 200 verwendet. Der Bereich 63 der Schicht 30 benachbart zu dem dielektrischen Isolationsgebiet 56 kann P-dotiert werden unter Anwendung beispielsweise von Ionenimplantati on. Der Bereich 63 wird für die Herstellung eines N-Kanal-Transistors 300 verwendet. Bereiche der Schicht 30, die keine spezielle Implantation erhalten sollen, können durch eine strukturierte Schicht aus Fotolack gemäß gut bekannter Fotolithografie- und Ionenimplantationstechniken abgedeckt werden. Wie in 3 gezeigt ist, wird eine Schicht aus dielektrischem Material 62 zumindest auf der Oberfläche des Bereichs 60, des Bereichs 61 und des Bereichs 63 der SOI-Schicht gebildet. Das dielektrische Material 62 besitzt vorzugsweise eine Dicke von ungefähr 1–3 nm und besitzt höchst vorteilhafterweise eine Dicke von ungefähr 1,5–2,0 nm. Das dielektrische Material 62 kann den Gate-Isolator des P-Kanal-Transistors 200, des N-Kanal-Transistors 300 und das Kondensator-Dielektrikum des Kondensators 52 bilden. Es ist nicht erforderlich, dass die Schicht 62 für alle drei Bauelemente verwendet wird; d. h., eine dielektrische Schicht kann für das Kondensator-Dielektrikum verwendet werden, und eine andere dielektrische Schicht kann für den Gate-Isolator der Transistoren 200 und/oder 300 verwendet werden, wobei allerdings die Verwendung der Schicht 62 für alle drei Bauelemente vorteilhaft ist, wenn die Anzahl der Verfahrensschritte minimiert werden soll. Das dielektrische Material kann thermisch aufgewachsenes Siliziumdioxid sein, das durch Ausheizen der Siliziumschicht 30 in einer oxidierenden Umgebung gebildet wird, oder es kann eine abgeschiedene Schicht aus Siliziumoxid, Siliziumoxynitrid, Siliziumnitrid oder ein Dielektrikum mit großer dielektrischer Konstante sein, etwa HfSiO, oder dergleichen. Abgeschiedene Isolatoren können durch chemische Dampfabscheidung (CVD), chemische Dampfabscheidung bei geringem Druck (LPCVD) oder plasmaunterstützte chemische Dampfabscheidung (PECVD) aufgebracht werden. Wie gezeigt, wird die Schicht 62 als eine abgeschiedene Schicht vorgesehen, die sich auf den dielektrischen Isolationsgebieten sowie auf der dünnen Siliziumschicht 30 ablagert. Eine Schicht aus polykristallinem Silizium oder einem anderen Material zur Bildung einer Gate-Elektrode wird auf der Schicht aus dielektrischem Material abgeschieden und wird strukturiert, um eine obere Platte 64 des Entkopplungskondensators 52, eine Gate-Elektrode 202 des P-Kanal-MOS-Transistors 200 und eine Gate-Elektrode 302 des N-Kanal-MOS-Transistors 300 zu bilden. Das die Gate-Elektrode bildende Material wird im Weiteren der Einfachheit halber als polykristallines Silizium bezeichnet, ohne allerdings darauf einschränken zu wollen, wobei der Fachmann erkennt, dass auch andere Materialien verwendet werden können. Das polykristalline Silizium kann durch CVD oder LPCVD durch Reduzieren von Silan (SiH4) abgeschieden werden. Eine Schicht aus Hartmaskenmaterial, etwa aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen (nicht gezeigt) kann ebenfalls über der Schicht aus polykristallinem Silizium aufgebracht werden, um die Strukturierung und Ätzung der Gate- Elektroden zu verbessern. Die polykristalline Siliziumschicht kann unter Anwendung einer strukturierten Fotolackschicht und konventioneller Fotolithografietechniken und Plasmaätzung in einer Cl- oder HBr/O2-Chemie strukturiert werden. In einer vorteilhaften Ausführungsform der Erfindung werden Seitenwandabstandshalter 66 an den Rändern der oberen Platte 64, der Gate-Elektrode 202 und der Gate-Elektrode 302 hergestellt. Die Seitenwandabstandshalter können durch anisotropes Ätzen einer Schicht aus Siliziumoxid, Siliziumnitrid oder dergleichen in bekannter Weise gebildet werden. Die Schicht aus dem Abstandshalter bildenden Material wird anisotrop geätzt, beispielsweise durch reaktive Ionenätzung (RIE) unter Anwendung einer CHF3-, CF4- oder SF6-Chemie, um die Schicht von im Wesentlichen horizontalen Oberflächen zu entfernen (die Oberseiten der polykristallinen Siliziumstrukturelemente) und um die Schicht an den im Wesentlichen vertikalen Oberflächen (den Seitenwänden der polykristallinen Siliziumstrukturelemente) beizubehalten.
  • Wie in 4 gezeigt ist, wird zumindest eine Öffnung 74 durch einen Teil des dielektrischen Isolationsgebietes 57 und die darunter liegende dielektrische Schicht 32 geätzt. Gemäß einer vorteilhaften Ausführungsform der Erfindung wird eine zweite Öffnung 75 ebenfalls durch das dielektrische Isolationsgebiet und die darunter liegende dielektrische Schicht geätzt. Obwohl beide Öffnungen 74 und 75 so gezeigt sind, dass diese durch das gleiche dielektrische Isolationsgebiet geätzt sind, können die beiden Öffnungen auch durch separate Isolationsgebiete geätzt werden. Die Öffnungen 74 und 75 werden anisotrop geätzt, etwa vorzugweise durch reaktives Ionenätzen. Die dielektrischen Schichten können durch reaktive Ionenätzung hergestellt werden, indem beispielsweise eine CF4-, eine CHF3- oder eine SF6-Chemie verwendet wird. Die Öffnung 74 legt einen Bereich 98 der Oberfläche des monokristallinen Siliziumträgersubstrats 34 frei und die Öffnung 75 legt einen Bereich 99 des Trägersubstrats frei. Das Ätzen kann beispielsweise durch eine strukturierte Schicht aus Fotolack (nicht gezeigt) maskiert werden.
  • Wie ebenfalls in 4 gezeigt ist, werden Borionen oder andere Ionen, die eine P-Leitfähigkeit erzeugen, durch die Öffnung 75 in das monokristalline Siliziumträgersubstrat 34 implantied, wie dies durch die Teile 76 gezeigt ist, um ein Kontaktgebiet 78 in dem Trägersubstrat zu bilden. Die gleiche P-Ionenimplantation kann auch in die dünne monokristalline Siliziumschicht 30 erfolgen, um ein Sourcegebiet 204 und ein Draingebiet 206 des P-Kanal-MOS-Transistors 200 der integrierten Schaltung 53 zu bilden. Die Ionenimplantation über die Source- und Draingebiete ist durch die Gate-Elektrode 202 und die zugehörigen Seitenwandabschnittshalter 66 maskiert und somit selbstjustiert. Andere Bauelemente können während der P-Ionenimplantation durch eine strukturierte Schicht aus Fotolack (nicht gezeigt) abgedeckt werden.
  • Vor oder nach der Implantation der Ionen, die eine P-Leitfähigkeit hervorrufen, durch die Öffnung 75 können Ionen für eine N-Leitfähigkeit, etwa Arsen oder Phosphor, durch die Öffnung 74 implantiert werden, wie dies durch die Pfeile 147 aus 5 gezeigt ist. Die die N-Leitfähigkeit hervorrufenden Ionen werden in das monokristalline Siliziumträgersubstrat 34 implantiert, um ein N-dotiertes Gebiet 176 zu bilden, das eine Diode mit PN-Übergang 177 mit dem Trägersubstrat bildet. Die gleiche N-Ionenimplantation kann verwendet werden, um Kontaktgebiete 68, 70 in selbstjustierter Weise mit der oberen Platte 64 durch Implantieren der Ionen in den Bereich 60 der dünnen monokristallinen Siliziumschicht 30 unter Anwendung der oberen Platte 64 und der seitlichen Abstandshalter 66 als Implantationsmaske zu bilden. Die stark dotierten (N+) Kontaktgebiete ermöglichen einen guten elektrischen Kontakt zu der unteren Platte des Entkopplungskondensators. Gleichzeitig werden das Diodengebiet 176 und Kontaktgebiete 68, 70 mit Ionen implantiert, wobei die gleiche Implantation verwendet werden kann, um ein Draingebiet 304 und ein Sourcegebiet 306 des N-Kanal-MOS-Transistors 300 zu erzeugen. Die Ionenimplantation für das Source- und das Draingebiet ist durch die Gate-Elektrode 302 und die zugehörigen Seitenwandabstandshalter 66 maskiert und somit selbstjustiert. Während der N-Ionenimplantation können der P-Kanal-MOS-Transistor 200 und andere Gebiete der integrierten Schaltung in bekannter Weise, beispielsweise mittels einer Schicht aus Fotolack (nicht gezeigt) maskiert werden.
  • Nach dem Entfernen der maskierenden Fotolackschicht werden die freiliegenden Bereiche der Isolatorschicht 62 entfernt und gemäß einer Ausführungsform der Erfindung wird eine Schicht aus einem silizidbildendem Metall, etwa Nickel, Kobalt, Titan, Palladium, oder dergleichen, ganzflächig auf der Struktur abgeschieden. Das silizidbildende Metall wird so abgeschieden, dass es mit dem ionenimplantierten Kontaktgebiet 78, dem Diodengebiet 176, den Gebieten 68, 70 und der oberen Platte aus polykristallinem Silizium der Kondensatorstruktur 52, dem Sourcegebiet 204 und dem Draingebiet 206 und der Gate-Elektrode 202 des PMOS-Transistors 200 sowie dem Draingebiet 304 und dem Sourcegebiet 306 und der Gate-Elektrode 302 des NMOS-Transistors 300 in Kontakt ist. Das silizidbildende Metall besitzt vorzugsweise eine Dicke von ungefähr 5 bis 15 nm. Das silizidbildende Metall wird aufgeheizt, vorzugsweise auf eine Temperatur von ungefähr 350° bis 500°C, um zu bewirken, dass das Metall mit dem Silizium reagiert, mit welchem es in Kontakt ist, um Metallsili zidkontaktgebiete 80 und 82 auf dem Kontaktgebiet 68 bzw. 70 zu bilden, um einen Metallsilizidkontakt 84 auf dem Kontaktgebiet 78, um einen Metallsilizidkontakt 178 auf dem Diodengebiet 176, um einen Metallsilizidkontakt 86 auf der oberen Platte aus polykristallinem Silizium 64 und um Metallsilizidkontakte 208 und 210 auf dem MOS-Transistor 200 und Metallsilizidkontakte 308 und 310 auf dem MOS-Transistor 300 zu bilden, wie dies in 6 gezeigt ist. Das Metall, das nicht mit Silizium in Kontakt ist, beispielsweise das Metall, das auf den dielektrischen Isolationsgebieten abgeschieden wurde, reagiert während des Aufheizschrittes nicht und wird beispielsweise durch Nassätzung in H2O2/H2SO4- oder HNO3/HCl-Lösungen entfernt. Die Metallsilizidkontakte 209 und 309 für die Gate-Elektrode der MOS-Transistoren 200 und 300 können ebenfalls im gleichen Schritt hergestellt werden.
  • Gemäß einer Ausführungsform der Erfindung wird ein dielektrisches Zwischenschichtmaterial 88, etwa Siliziumoxid, ganzflächig abgeschieden, um die polykristallinen Siliziumstrukturelemente und die Silizidgebiete abzudecken und um die Öffnungen 74 und 75 zu füllen. Die Schicht 88 wird nachfolgend fotolithografisch strukturiert und geätzt, um Öffnungen 90 zu erzeugen, die Bereich der Metallsilizidkontakte 80, 82, 84, 178, 86, 208, 210, 308 und 310 freizulegen, wie dies in 7 gezeigt ist. Die dielektrische Zwischenschichtmaterialschicht 88 kann beispielsweise durch CVD durch Zerfall eines Quellenmaterials, etwa Tetraethylorthosilikat (TEOS) abgeschieden werden und kann beispielsweise durch reaktive Ionenätzung unter Anwendung einer CHF3-, CF4- oder SF6-Chemie geätzt werden. Leitende Pfropfen oder Elemente werden dann in den Öffnungen 90 gebildet. Das leitende Element oder der Pfropfen 92 ist mit dem Silizidkontakt 80 in Kontakt, der leitende Pfropfen 94 steht mit dem Metallsilizidkontakt 82 in Verbindung, der leitende Pfropfen 96 steht mit dem Metallsilizidkontakt 84 in Verbindung, der Kontaktpfropfen 180 steht dem Silizidkontakt 178 in Verbindung und der leitende Pfropfen 98 steht mit dem Metallsilizidkontakt 86 der Kondensatorstruktur 52 in Verbindung. In ähnlicher Weise sind die leitenden Pfropfen bzw. Elemente 212, 214, 312 und 314 mit dem Metallsilizidkontakten 208, 210, 308 bzw. 310 in Verbindung. Die leitenden Pfropfen können in konventioneller Weise hergestellt werden, indem beispielsweise eine Schicht aus Titan abgeschieden wird, eine Schicht aus Titannitrid gebildet wird und dann eine Schicht aus Wolfram abgeschieden wird. Das überschüssige Material der Pfropfen kann von der Oberfläche des dielektrischen Zwischenschichtmaterials 88 durch einen CMP-Prozess entfernt werden.
  • Wie in den 8 bis 11 gezeigt ist, wird die Entkopplungskondensatorstruktur gemäß einer Ausführungsform der Erfindung fertiggestellt, indem eine oder mehrere Schichten aus Metall abgeschieden und strukturiert werden, um einen Vdd-Bus 100 und einen Vss-Bus 102 zu bilden. Die Leitungsführung der erforderlichen Busse bzw. Busleitungen und der anderen Verbindungsmetallisierung erfordert im Allgemeinen mehrere Schichten aus Metallisierungen. Diese Schichten aus Metallisierungen können elektrisch durch Schichten aus dielektrischem Material getrennt werden. Die Schicht aus Metall kann Aluminium, Kupfer, eine Legierung aus Aluminium oder Kupfer und dergleichen sein. Der Fachmann erkennt, dass eine Aluminiummetallisierung im Allgemeinen abgeschieden und anschließend fotolithografisch strukturiert und geätzt wird, wohingegen eine Kupfermetallisierung üblicherweise mittels eines Damaszener-Prozesses strukturiert wird. Die 8-11 zeigen schematisch Schritte für die Herstellung des Vdd-Busses 100 und des Vss-Busses 102 aus einem Metall, etwa Aluminium.
  • Wie in 8 gezeigt ist, wird eine Schicht aus Metall 400, etwa Aluminium oder eine Aluminiumlegierung Ober der Oberseite der dielektrischen Schicht 88 abgeschieden und ist somit mit dem leitenden Pfropfen in Kontakt. Die Metallschicht wird strukturiert, wie in 9 gezeigt ist, um Bereiche des Vdd-Busses 100 zu bilden, die elektrisch mit dem Drain 304 des N-Kanal-MOS-Transistors 300, mit der oberen Platte 64 des Entkopplungskondensators 52 und der Diode 177 verbunden sind. Die Metallschicht ist ferner strukturiert, um Bereiche des Vss-Busses 102 so zu bilden, dass diese elektrisch mit der unteren Platte 60 des Entkopplungskondensators 52, dem Draingebiet 206 des P-Kanal-MOS-Transistors 200 und dem Substratkontakt 78 verbunden sind.
  • Wie in 10 gezeigt ist, geht das Verfahren gemäß einer Ausführungsform der Erfindung weiter, indem eine weitere dielektrische Schicht 402 abgeschieden wird, die über der dielektrischen Schicht 88 und der strukturierten Metallschicht 400 angeordnet ist. Vorzugsweise wird die obere Fläche der dielektrischen Schicht 402 eingeebnet, beispielsweise durch einen CMP-Prozess. Öffnungen 404 werden dann strukturiert und so geätzt, dass diese sich durch die dielektrische Schicht 402 erstrecken, um Bereiche des Vdd-Busses 100 freizulegen. Die Öffnungen 404 werden dann mit leitenden Pfropfen bzw. Elementen 406 gefüllt und es wird eine zusätzliche Metallschicht 408 auf der eingeebneten oberen Fläche der dielektrischen Schicht 402 aufgebracht, die in elektrischem Kontakt mit den leitenden Pfropfen 406 ist.
  • Wie in 11 gezeigt ist, wird die Metallschicht 408 strukturiert und so geätzt, dass ein Bereich 410 des Vdd-Busses gebildet wird, der beispielsweise mit einer externen Versorgungsspannung verbunden werden kann. Obwohl dies in den 10 und 11 aufgrund der Einschränkung einer zweidimensionalen Darstellung nicht gezeigt ist, können zusätzliche Öffnungen durch die dielektrische Schicht 402 hindurch strukturiert und geätzt werden, um Bereiche des Vss-Busses 102 freizulegen, wobei diese Öffnungen mit leitenden Pfropfen gefüllt werden können, und ein Bereich der Metallschicht 408 wird strukturiert, um elektrisch mit diesem leitenden Pfropfen in Verbindung zu stehen. Des Weiteren kann eine Verbindung von Vss-Substrat 34 hergestellt werden, wie dies durch einen Anschluss 412 dargestellt ist.
  • Der Vdd-Bus ist mit dem leitenden Pfropfen 98 und somit mit der oberen Platte 64 des Entkopplungskondensators 52 verbunden. Der Vss-Bus ist mit den leitenden Pfropfen 92 und 94 verbunden und ist somit auch mit der unteren Platte 60 des Entkopplungskondensators 52 verbunden. Der Entkopplungskondensator ist somit zwischen den beiden Spannungsbusleitungen angeschlossen. Gemäß einer Ausführungsform der Erfindung ist der Vdd-Bus ebenfalls mit dem leitenden Pfropfen 180 und somit mit der Diode mit PN-Übergang 177, die in dem Trägersubstrat 34 gebildet ist, verbunden, wodurch ein elektrischer Entladungspfad für Ladungen bereitgestellt wird, die sich auf der oberen Platte des Kondensators 52 aufbauen kann. Eine positive Ladung, die sich auf der oberen Platte 64 ansammelt, kann über das Substrat abfließen in Form eines Sperrstromes der Diode mit PN-Übergang 177. Eine negative Ladung, die sich auf der oberen Platte 64 aufbaut, kann als Durchlassstrom der Diode mit PN-Übergang 177 abfließen. Gemäß einer weiteren Ausführungsform der Erfindung ist der Vss-Bus ebenfalls mit dem leitenden Pfropfen 96 und damit dem Trägersubstrat 34 verbunden, wodurch ein weiterer elektrischer Entsorgungspfad für Ladung bereitgestellt wird, die sich auf der unteren Platte des Kondensators ansammeln kann.
  • Zumindest für einige der MOS-Transistoren der integrierten Schaltung 53 ist der Vdd-Bus ebenfalls mit dem leitenden Pfropfen 312 und somit dem Drain des N-Kanal-MOS-Transistors 300 verbunden, und der Vss-Bus ist ebenfalls mit dem leitenden Pfropfen 212 und somit dem Drain des P-Kanal-MOS-Transistors 200 verbunden. Aufgrund der beschränkten Darstellungsmöglichkeiten der zweidimensionalen Zeichnung sind einige der direkten Verbindungen zwischen einzelnen Elementen schematisch als gestrichelte Linien 414 gezeigt. Obwohl 11 einen Entladungspfad zeigt, der sich von Vdd zur Diode mit PN-Übergang 177 erstreckt, kann der Entladungspfad auch so angeschlossen sein, dass dieser sich von einem beliebigen nicht-geerdeten Schaltungsknoten erstreckt, der möglicherweise durch Ladung geschädigt werden kann, die sich in den diversen Plasmaätz- und Abscheideprozesse, die bei der Herstellung des ICs 52 angewendet wurden, aufgebaut hat. Der Entladungspfad ist so gezeigt, dass dieser sich von einem dotierten Gebiet eines MOS-Transistors zu der Diode mit PN-Übergang 177 erstreckt, wobei, obwohl dies in den Figuren nicht gezeigt ist, der Entladungspfad sich auch von einer Gate-Elektrode, etwa der Gate-Elektrode 302 des MOS-Transistors 300, zu der Diode mit PN-Übergang erstrecken kann.
  • Obwohl zumindest eine anschauliche Ausführungsform in der vorhergehenden detaillierten Beschreibung dargelegt ist, sollte beachtet werden, dass eine große Anzahl Änderungen möglich ist. Beispielsweise ist die Reihenfolge der zuvor beschriebenen Verfahrensschritte lediglich anschaulicher Natur und soll nicht einschränkend erachtet werden. In ähnlicher Weise sind die aufgeführten Metalle, Isolatoren und lonensorten lediglich anschaulicher Natur. Obwohl der Vdd-Bus und der Vss-Bus in den 811 so gezeigt sind, dass diese in den gleichen Metallisierungsebenen der integrierten Schaltung ausgebildet sind, können diese auch in unterschiedlichen Metallisierungsebenen hergestellt werden. Es sollte auch beachtet werden, dass die anschauliche Ausführungsform oder die anschaulichen Ausführungsformen lediglich Beispiele sind und den Schutzbereich, die Anwendbarkeit oder die Struktur der Erfindung in keiner Weise beschränken sollen. Vielmehr bietet die vorhergehende detaillierte Beschreibung dem Fachmann auf dem Gebiet eine effiziente Anleitung zum Verwirklichen der beispielhaften Ausführungsform oder beispielhaften Ausführungsformen. Es sollte beachtet werden, dass diverse Änderungen an der Funktion und dem Aufbau von Elementen durchgeführt werden können, ohne von dem Schutzbereich der Erfindung abzuweichen, wie sie in den angefügten Patentansprüchen und deren Äquivalenten festgelegt ist.
  • Zusammenfassung
  • SOI-BAUELEMENT UND VERFAHREN ZU DESSEN HERSTELLUNG
  • Es werden ein Silizium-auf-Isolator-(SOI)-Bauelement (53) und Verfahren zu dessen Herstellung bereitgestellt. Das Bauelement enthält einen MOS-Kondensator (52), der zwischen Spannungsbusleitungen (100, 102) angeschlossen ist und in einer monokristallinen Halbleiterschicht (30) über einer isolierenden Schicht (32) und über einem Halbleitersubstrat (34) ausgebildet ist. Das Bauelement enthält zumindest einen elektrischen Entladungspfad (86, 98, 180, 178) zum Abführen potentiell schädigender angesammelter Ladung auf dem MOS-Kondensator (52). Der MOS-Kondensator weist ein leitendes Elektrodenmaterial auf, das eine erste Platte (64) des MOS-Kondensators bildet, und weist ein dotiertes Gebiet (60) in der monokristallinen Siliziumschicht (30) unter dem leitenden Elektrodenmaterial auf, das eine zweite Platte bildet. Eine erste Spannungsbusleitung (100) ist mit der ersten Platte (64) des Kondensators und mit einem elektrischen Entladungspfad über eine Diode (177), die in dem Halbleitersubstrat gebildet ist, verbunden, und eine zweite Spannungsbusleitung (102) ist mit der zweiten Platte (60) des Kondensators verbunden.

Claims (10)

  1. Verfahren zur Herstellung eines Halbleiter-auf-Isolator-(SOI)-Bauelements (53), das ein Halbleitersubstrat (34), eine vergrabene isolierende Schicht (32) über dem Halbleitersubstrat und eine monokristalline Halbleiterschicht (30) über der vergrabenen isolierenden Schicht aufweist, wobei das Verfahren die Schritte umfasst: Bilden eines MOS-Kondensators (52), der zwischen einer ersten Spannungsbusleitung (100) und einer zweiten Spannungsbusleitung (102) angeschlossen ist, wobei der MOS-Kondensator ein Gate-Elektrodenmaterial aufweist, das eine erste Platte (64) des MOS-Kondensators bildet und mit der ersten Spannungsbusleitung (100) verbunden ist, und ein dotiertes Gebiet (60) in der monokristallinen Halbleiterschicht unter dem Gate-Elektrodenmaterial aufweist, das eine zweite Platte des MOS-Kondensators bildet und mit der zweiten Busleitung (102) verbunden ist; und Bilden eines elektrischen Entladungspfades (86, 98, 180, 178), der die erste Platte (64) des MOS-Kondensators (52) mit einer Diode (177), die in dem Halbleitersubstrat (34) gebildet ist, verbindet.
  2. Verfahren nach Anspruch 1, wobei der Schritt des Bildens eines elektrischen Entladungspfades die Schritte umfasst: Bilden eines dielektrischen Isolationsgebietes (57), das sich durch die monokristalline Halbleiterschicht (30) bis zu der vergrabenen isolierenden Schicht (32) erstreckt; Ätzen einer Öffnung (74) durch das dielektrische Isolationsgebiet und die vergrabene isolierende Schicht, um einen Bereich (98) des Halbleitersubstrats freizulegen; Implantieren von Ionen, die eine erste Leitfähigkeitsart hervorrufen, durch die Öffnung, um eine Diode mit PN-Übergang (177) in dem Halbleitersubstrat zu bilden; und Verbinden der ersten Spannungsbusleitung (100) mit der Diode mit PN-Übergang (177) in dem Halbleitersubstrat.
  3. Verfahren nach Anspruch 1, das ferner den Schritt des Bildens eines zweiten elektrischen Entladungspfades (82, 94, 96, 84) umfasst, der die zweite Platte (60) des MOS-Kondensators (52) mit dem Halbleitersubstrat (43) verbindet.
  4. Verfahren zur Herstellung eines Halbleiter-auf-Isolator-(SOI)-Bauelements (53), das ein P-dotiertes Halbleitersubstrat (34), eine vergrabene isolierende Schicht (32) über dem P-dotierten Halbleitersubstrat und eine monokristalline Halbleiterschicht (30) über der vergrabenen isolierenden Schicht aufweist, wobei das Verfahren die Schritte umfasst: Bilden von dielektrischen Isolationsgebiet (56, 57, 58), die sich durch die monokristalline Halbleiterschicht erstrecken; Ätzen einer Öffnung (74), die sich durch eines der dielektrischen Isolationsgebiete (57) und die vergrabene isolierende Schicht (32) erstreckt, um einen Bereich (98) des P-dotierten Halbleitersubstrats freizulegen; Dotieren des Bereichs des P-dotierten Halbleitersubstrats, der durch die Öffnung freigelegt ist, mit N-Dotiermitteln, um ein N-dotiertes Gebiet (176) zu bilden, das eine Diode mit PN-Übergang (177) mit dem P-dotierten Halbleitersubstrat bildet; Dotieren eines Bereichs (60) der monokristallinen Halbleiterschicht (30) mit N-Dotiermitteln, um eine erste Platte eines Kondensators (52) zu bilden; Bilden einer Isolatorschicht (62) über dem Bereich der monokristallinen Halbleiterschicht; Bilden einer leitenden Elektrode (64) über der Isolatorschicht (62), um eine zweite Platte des Kondensators zu bilden; Verbinden eines ersten Busses (190) mit der zweiten Platte des Kondensators (64) und mit dem N-dotierten Gebiet (176); und Verbinden eines zweiten Busses (102) mit der ersten Platte (60) des Kondensators.
  5. Verfahren nach Anspruch 4, das ferner die Schritte umfasst: Ätzen einer zweiten Öffnung (75), die sich durch eines der dielektrischen Gebiete (57) und durch die vergrabene isolierende Schicht (32) erstreckt, um einen zweiten Bereich (99) des P-dotierten Halbleitersubstrats (34) freizulegen; Dotieren des zweiten Bereichs (99) des P-dotierten Halbleitersubstrats mit P-Dotiermitteln, um einen Kontakt (78) zu dem P-dotierten Halbleitersubstrat zu bilden; und Verbinden des zweiten Busses (102) mit der ersten Platte (60) des Kondensators und mit dem Kontakt (78) des P-Halbleitersubstrats.
  6. Verfahren nach Anspruch 4, wobei der Schritt des Bildens einer leitenden Elektrode (64) die Schritte umfasst: Abscheiden einer Schicht aus polykristallinem Silizium über der Isolatorschicht; und Strukturieren der Schicht aus polykristallinem Silizium, um eine leitende Elektrode (64), eine Gate-Elektrode (302) eines NMOS-Transistors (300) und eine Gate-Elektrode (202) eines PMOS-Transistors (200) zu bilden.
  7. Halbleiter-auf-Isolator-(SOI)-Bauelement (53) mit: einem Halbleitersubstrat (34); einer vergrabenen isolierenden Schicht (32), die über dem Halbleitersubstrat angeordnet ist; einer monokristallinen Halbleiterschicht (30), die über der vergrabenen isolierenden Schicht angeordnet ist; einem MOS-Kondensator (52), der umfasst: ein dotiertes Gebiet (60) in der monokristallinen Halbleiterschicht, das eine erste Platte des MOS-Kondensators (52) bildet; eine dielektrische Schicht (62) über dem dotierten Gebiet (60); und ein leitendes Material (64) über der dielektrischen Schicht, das eine zweite Platte des MOS-Kondensators bildet; einer Diode mit PN-Übergang (177), die in dem Halbleitersubstrat ausgebildet ist; einer ersten Spannungsbusleitung (102), die mit der ersten Platte (60) verbunden ist; und einer zweiten Spannungsbusleitung (100), die mit der zweiten Platte (64) und der Diode mit PN-Übergang (177) verbunden ist.
  8. Halbleiter-auf-Isolator-(SOI)-Bauelement nach Anspruch 7, das ferner umfasst: einen elektrischen Kontakt (78) zu dem Halbleitersubstrat; und eine Verbindungsstruktur (84, 96, 94, 82), die den elektrischen Kontakt mit der ersten Spannungsbusleitung verbindet.
  9. Halbleiter-auf-Isolator-(SOI)-Bauelement nach Anspruch 8, das ferner umfasst: einen PMOS-Transistor (200), der in einem ersten elektrisch isolierten Bereich (61) der monokristallinen Halbleiterschicht (30) gebildet ist; einen NMOS-Transistor (300), der in einem zweiten elektrisch isolierten Bereich (63) der monokristallinen Halbleiterschicht (30) gebildet ist; und wobei der MOS-Kondensator (52) in einem dritten elektrisch isolierten Bereich (60) der monokristallinen Halbleiterschicht gebildet ist.
  10. Halbleiter-auf-Isolator-(SOI)-Bauelement nach Anspruch 9, wobei ein Draingebiet (206) des PMOS-Transistors (200) mit der ersten Spannungsbusleitung (102) und ein Draingebiet (304) des NMOS-Transistors (300) mit der zweiten Spannungsbusleitung (100) verbunden ist.
DE112007001725T 2006-07-21 2007-07-20 SOI-Bauelement und Verfahren zu dessen Herstellung Expired - Fee Related DE112007001725B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/459,316 US7718503B2 (en) 2006-07-21 2006-07-21 SOI device and method for its fabrication
US11/459,316 2006-07-21
PCT/US2007/016453 WO2008011144A1 (en) 2006-07-21 2007-07-20 Soi device and method for its fabrication

Publications (2)

Publication Number Publication Date
DE112007001725T5 true DE112007001725T5 (de) 2009-06-10
DE112007001725B4 DE112007001725B4 (de) 2013-10-17

Family

ID=38683451

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112007001725T Expired - Fee Related DE112007001725B4 (de) 2006-07-21 2007-07-20 SOI-Bauelement und Verfahren zu dessen Herstellung

Country Status (8)

Country Link
US (2) US7718503B2 (de)
JP (1) JP2009545162A (de)
KR (1) KR20090042252A (de)
CN (1) CN101512764B (de)
DE (1) DE112007001725B4 (de)
GB (1) GB2453487B (de)
TW (1) TWI433305B (de)
WO (1) WO2008011144A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010001400A1 (de) * 2010-01-29 2011-08-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 SOI-Halbleiterbauelement mit reduzierter Topographie über einem Substratfensterbereich

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888764B2 (en) * 2003-06-24 2011-02-15 Sang-Yun Lee Three-dimensional integrated circuit structure
US7485926B2 (en) * 2003-01-30 2009-02-03 X-Fab Semiconductor Foundries Ag SOI contact structures
US20110001172A1 (en) * 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US7820519B2 (en) * 2006-11-03 2010-10-26 Freescale Semiconductor, Inc. Process of forming an electronic device including a conductive structure extending through a buried insulating layer
US8188543B2 (en) * 2006-11-03 2012-05-29 Freescale Semiconductor, Inc. Electronic device including a conductive structure extending through a buried insulating layer
US7675121B2 (en) * 2007-10-08 2010-03-09 International Business Machines Corporation SOI substrate contact with extended silicide area
US20090093092A1 (en) * 2007-10-08 2009-04-09 Dinh Dang Soi substrate contact with extended silicide area
KR101017809B1 (ko) * 2008-03-13 2011-02-28 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US8131225B2 (en) * 2008-12-23 2012-03-06 International Business Machines Corporation BIAS voltage generation circuit for an SOI radio frequency switch
US8026131B2 (en) * 2008-12-23 2011-09-27 International Business Machines Corporation SOI radio frequency switch for reducing high frequency harmonics
US7999320B2 (en) * 2008-12-23 2011-08-16 International Business Machines Corporation SOI radio frequency switch with enhanced signal fidelity and electrical isolation
DE102008063403A1 (de) * 2008-12-31 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale SOI-Bauelement mit einem vergrabenen isolierenden Material mit erhöhter Ätzwiderstandsfähigkeit
JP5721147B2 (ja) * 2010-03-09 2015-05-20 大学共同利用機関法人 高エネルギー加速器研究機構 半導体装置及び半導体装置の製造方法
DE102010020884B4 (de) * 2010-05-18 2018-03-15 Infineon Technologies Ag Halbleiterbauelement
US8426922B2 (en) * 2010-10-15 2013-04-23 United Microelectronics Corp. CMOS structure and latch-up preventing method of same
US9806190B2 (en) * 2010-10-28 2017-10-31 Texas Instruments Incorporated High voltage drain extension on thin buried oxide SOI
DE102011002877B4 (de) 2011-01-19 2019-07-18 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines SOI-Halbleiterbauelements mit einer Substratdiode und einer Schichtdiode, die unter Anwendung einer gemeinsamen Wannenimplantationsmaske hergestellt sind
US8878337B1 (en) * 2011-07-19 2014-11-04 Xilinx, Inc. Integrated circuit structure having a capacitor structured to reduce dishing of metal layers
US8847320B2 (en) * 2012-01-31 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Decoupling capacitor and layout for the capacitor
US8609533B2 (en) 2012-03-30 2013-12-17 GlobalFoundries, Inc. Methods for fabricating integrated circuits having substrate contacts and integrated circuits having substrate contacts
US20130328159A1 (en) * 2012-06-12 2013-12-12 International Business Machines Corporation Implementing isolated silicon regions in silicon-on-insulator (soi) wafers using bonded-wafer technique
US8525264B1 (en) * 2012-07-30 2013-09-03 International Busines Machines Corporation Photonic modulator with a semiconductor contact
US9012997B2 (en) * 2012-10-26 2015-04-21 International Business Machines Corporation Semiconductor device including ESD protection device
JP6271841B2 (ja) * 2013-02-13 2018-01-31 ラピスセミコンダクタ株式会社 半導体装置、半導体装置の製造方法および半導体装置を搭載したシステム
US9202751B2 (en) * 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions
US20160141226A1 (en) * 2014-11-14 2016-05-19 International Business Machines Corporation Device connection through a buried oxide layer in a silicon on insulator wafer
US20160197071A1 (en) * 2015-01-06 2016-07-07 Mediatek Inc. Integrated circuit device and method for forming the same
JP2016164942A (ja) * 2015-03-06 2016-09-08 ラピスセミコンダクタ株式会社 半導体装置の製造方法および半導体積層構造物
JP6695188B2 (ja) * 2016-03-29 2020-05-20 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10680120B2 (en) 2018-04-05 2020-06-09 Vanguard International Semiconductor Corporation Semiconductor device and method for manufacturing the same
KR102495516B1 (ko) * 2018-05-08 2023-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI782882B (zh) * 2018-06-01 2022-11-01 聯華電子股份有限公司 半導體裝置
CN110998856B (zh) * 2018-08-02 2024-05-03 深圳市为通博科技有限责任公司 电容器及其制作方法
US11031320B2 (en) 2018-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for reducing process charging damages
US11450753B2 (en) * 2019-05-07 2022-09-20 Globalfoundries U.S. Inc. Edge cell signal line antenna diodes
US11723218B2 (en) * 2020-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US20220406608A1 (en) * 2021-06-18 2022-12-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
CN115831970A (zh) * 2021-09-18 2023-03-21 台湾积体电路制造股份有限公司 集成电路器件及制造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0964198A (ja) * 1995-08-30 1997-03-07 Denso Corp 半導体集積回路装置
US6034388A (en) * 1998-05-15 2000-03-07 International Business Machines Corporation Depleted polysilicon circuit element and method for producing the same
US6558998B2 (en) 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
KR100302189B1 (ko) * 1999-10-05 2001-11-02 윤종용 에스.오.아이(soi)구조를 갖는 반도체 소자 및 그 제조방법
US6475838B1 (en) * 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
US6303414B1 (en) 2000-07-12 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of forming PID protection diode for SOI wafer
US6452234B1 (en) 2000-11-27 2002-09-17 Advanced Micro Devices, Inc. How to improve the ESD on SOI devices
JP4136452B2 (ja) * 2002-05-23 2008-08-20 株式会社ルネサステクノロジ 半導体装置及びその製造方法
FR2847715B1 (fr) 2002-11-25 2005-03-11 Commissariat Energie Atomique Circuit integre comportant des sous-ensembles connectes en serie
JP2005228779A (ja) * 2004-02-10 2005-08-25 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP3962729B2 (ja) * 2004-06-03 2007-08-22 株式会社東芝 半導体装置
JP2006303377A (ja) * 2005-04-25 2006-11-02 Renesas Technology Corp 半導体装置
US7465639B1 (en) * 2005-05-20 2008-12-16 Advanced Micro Devices, Inc. Method for fabricating an SOI device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010001400A1 (de) * 2010-01-29 2011-08-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 SOI-Halbleiterbauelement mit reduzierter Topographie über einem Substratfensterbereich
US8048726B2 (en) 2010-01-29 2011-11-01 Globalfoundries Inc. SOI semiconductor device with reduced topography above a substrate window area
DE102010001400B4 (de) 2010-01-29 2019-12-05 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG SOI-Halbleiterbauelement mit reduzierter Topographie über einem Substratfensterbereich

Also Published As

Publication number Publication date
US20080017906A1 (en) 2008-01-24
JP2009545162A (ja) 2009-12-17
US7915658B2 (en) 2011-03-29
US20100187586A1 (en) 2010-07-29
DE112007001725B4 (de) 2013-10-17
US7718503B2 (en) 2010-05-18
GB2453487A (en) 2009-04-08
TWI433305B (zh) 2014-04-01
WO2008011144A1 (en) 2008-01-24
CN101512764B (zh) 2013-01-09
GB2453487B (en) 2009-12-30
TW200822347A (en) 2008-05-16
CN101512764A (zh) 2009-08-19
GB0901334D0 (en) 2009-03-11
KR20090042252A (ko) 2009-04-29

Similar Documents

Publication Publication Date Title
DE112007001725B4 (de) SOI-Bauelement und Verfahren zu dessen Herstellung
DE102019126237B4 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE60019913T2 (de) Halbleiterbauelement und Herstellungsverfahren
DE102011056157B4 (de) Verfahren zur Herstellung eines Halbleiterbauteils und Halbleiterbauteile mit isolierten Halbleitermesas
DE112020000199B4 (de) Herstellungsverfahren für einen Transistorkanal mit vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102013104130A1 (de) Schutzringe auf Fin-Strukturen
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102018215650A1 (de) Gestapelte soi-halbleiterbauelemente mit rückseitenvorspannungsmechanismus
DE102006015076B4 (de) Halbleiterbauelement mit SOI-Transistoren und Vollsubstrattransistoren und ein Verfahren zur Herstellung
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102020120522B4 (de) Selbstjustiertes ätzen in halbleitervorrichtungen
DE102011088584B4 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102016205180B4 (de) Verfahren zum Herstellen von Transistoren mit mehreren Schwellspannungen
DE102014108790B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit Vorrichtungstrennungsstrukturen und Halbleitervorrichtung
DE102004060170A1 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE112007000964B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente mit einem Kondensator mit hoher Kapazität pro Flächeneinheit
DE102016203154B4 (de) Verfahren zum Bilden einer Halbleitervorrichtungsstruktur
DE102018203747B4 (de) Feldeffekttransistoren mit einer t-förmigen gateelektrode und verfahren zu deren herstellung
DE102006025364A1 (de) Verfahren zum Vergrößern des Transistordurchlassstromes durch Vertiefen eines Isolationsgrabens
DE102020129746A1 (de) Source/drain-kontakte und verfahren zu ihrer bildung
DE102011004672B4 (de) SOI-Halbleiterbauelement mit einer Substratdiode mit reduzierter Metallsilizidleckage
DE102020122823B4 (de) Halbleitervorrichtungen mit entkopplungskondensatoren
DE102022100241A1 (de) Rückseitige source/drain-kontakte und verfahren zum bilden derselben
DE102019219072B4 (de) Dummy-Füllschema zur Verwendung mit passiven Vorrichtungen
DE102017127205A1 (de) Halbleiter-bauelement und verfahren

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8125 Change of the main classification

Ipc: H01L 21/84 AFI20070720BHDE

8127 New person/name/address of the applicant

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8128 New person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20140118

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee