JP2009545162A - Soiデバイスおよびその製造方法 - Google Patents

Soiデバイスおよびその製造方法 Download PDF

Info

Publication number
JP2009545162A
JP2009545162A JP2009521776A JP2009521776A JP2009545162A JP 2009545162 A JP2009545162 A JP 2009545162A JP 2009521776 A JP2009521776 A JP 2009521776A JP 2009521776 A JP2009521776 A JP 2009521776A JP 2009545162 A JP2009545162 A JP 2009545162A
Authority
JP
Japan
Prior art keywords
layer
semiconductor substrate
single crystal
plate
capacitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009521776A
Other languages
English (en)
Inventor
エム. ペレラ マリオ
ディー. ウ ドンギャン
エフ. ブラー ジェイムズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2009545162A publication Critical patent/JP2009545162A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)

Abstract

シリコンオンインシュレータ(SOI)デバイス[53]およびこのようなデバイスの製造方法が提供される。このデバイスは、電圧バス[100,102]間に接続され、絶縁体層[32]および半導体基板[34]の上を覆う単結晶半導体層[30]内に形成されたMOSコンデンサ[52]を有する。このデバイスは、MOSコンデンサ[52]に蓄積された悪影響を及ぼす可能性のある電荷を放電するための少なくとも1つの放電経路[86,98,180,178]を有する。MOSコンデンサは、MOSコンデンサの第1のプレート[64]を形成する導電性電極材料と、導電性電極材料の下の、第2のプレートを形成する単結晶シリコン層[30]内の不純物ドープ領域[60]とを有する。コンデンサの第1のプレート[64]と、半導体基板内に形成されたダイオード[177]を通る放電経路とに第1の電圧バス[100]が接続されており、コンデンサの第2のプレート[60]に第2の電圧バス[102]が接続されている。

Description

本発明は、一般に、半導体オンインシュレータ(SOI)デバイスおよびこのようなデバイスを製造するための方法に関し、より詳細には、デカップリングコンデンサのための放電経路を備えたSOIデバイスおよびSOIデバイスの製造方法に関する。
今日の集積回路(IC)の大半は、相互接続された複数の電界効果トランジスタ(FET)(金属酸化膜半導体電界効果トランジスタ(MOSFETまたはMOSトランジスタ)とも呼ばれる)を使用することによって実装されている。このようなICは、通常、PチャネルFET(PMOSトランジスタまたはPFET)とNチャネルFET(NMOSトランジスタまたはNFET)の両方を使用して形成されており、このため、このようなICは、相補型MOSまたはCMOS回路と呼ばれている。絶縁体層の上を覆う半導体材料の薄膜層中にMOSトランジスタを形成することにより、MOS ICの性能をある程度改善することができる。例えば、このような半導体オンインシュレータ(SOI)MOSトランジスタは、接合容量が低く、このため、高速で動作することができる。
SOI層中またはその上に形成されたMOSトランジスタは、所望の回路機能を実装するために相互接続される。回路機能が要求するように、適切なデバイスに給電するために、多くの電圧バスもこれらのデバイスに接続されている。電圧バスには、例えば、Vddバス、Vccバス、Vssバスなどがあり、外部電源に接続されたバスのほか、内部的に生成または内部的に変更される電力源に接続されたバスが含まれることもある。本明細書において、「Vddバス」および「Vccバス」のほか、「電圧バス」などの用語は、外部バスのほか内部バスにも使用される。回路内のさまざまなノードが、回路の動作中に充電または放電されるため、さまざまなバスが、これらのノードに電流を供給するか、これらのノードから電流を吸い込む必要がある。特に、集積回路のスイッチング速度が高速化するにつれ、バスによる供給電流または吸い込み電流の要求により、バス固有のインダクタンスのため、バスに大きな電圧スパイクが生じることがある。電圧スパイクによって発生する可能性のある論理エラーを防ぐために、バス間にデカップリングコンデンサを置くことが一般的になっている。例えば、VddバスとVssバス間にこのようなデカップリングコンデンサが接続されうる。通常、これらのデカップリングコンデンサは、バスの全長に分散される。一般に、これらのコンデンサは、MOSトランジスタのゲート電極の形成に使用されたものと同じ材料で形成されたコンデンサのプレート、SOI層中の不純物ドープ領域によって形成されたコンデンサの別のプレート、およびこの2枚のコンデンサのプレートを分離する、ゲート誘電体によって形成された誘電体を有するMOSコンデンサとして形成されるが、これは必須ではない。
このようなMOSコンデンサを電圧バス間のデカップリングコンデンサとして使用した際に、集積回路の歩留りおよび信頼性に影響する可能性のある1つの問題が発生することがある。この問題は、ICの製造中に、コンデンサに充分な電荷が蓄積されて、コンデンサ誘電材料を貫通する破壊的な放電が生ずるために発生する。この問題は、デバイスが微細化し、特にゲート誘電層の膜厚が低くなると一層悪化する。電荷の蓄積は、層間絶縁膜材料の堆積および/またはエッチングに使用するプラズマ堆積工程および/またはエッチング工程、ならびに集積回路の製造の最終工程で使用される金属またはその他の導体の1つ以上が原因となる。
したがって、デカップリングコンデンサに蓄積された電荷の破壊的な影響を防ぐMOSデバイス、およびこのようなMOSデバイスの製造方法を提供することが望ましい。更に、デカップリングコンデンサと、このデカップリングコンデンサを保護するための放電経路を組み込んだSOIデバイスの製造方法を提供することが望ましい。更に、本発明のほかの望ましい特徴および性質は、添付の図面と上記の技術分野と背景技術を併せて読めば、下記の詳細な説明と添付の特許請求の範囲から明らかとなるであろう。
電圧バス間に接続され、絶縁体層および半導体基板の上を覆う単結晶半導体層内に形成されたMOSコンデンサを有するシリコンオンインシュレータ(SOI)デバイスが提供される。このデバイスは、MOSコンデンサに蓄積された悪影響を及ぼす可能性のある電荷を放電するための少なくとも1つの放電経路を有する。MOSコンデンサは、MOSコンデンサの第1のプレートを形成する導電性電極材料と、導電性電極材料の下の、第2のプレートを形成する単結晶シリコン層内の不純物ドープ領域と、を有する。コンデンサの第1のプレートと、半導体基板内に形成されたダイオードを通る放電経路とに第1の電圧バスが接続されている。コンデンサの第2のプレートに第2の電圧バスが接続されている。
半導体基板、半導体基板の上を覆う埋込み絶縁体層、および埋込み絶縁体層の上を覆う単結晶半導体層を有するシリコンオンインシュレータ(SOI)デバイスの製造方法が提供される。本発明の一実施形態によれば、この方法は、単結晶半導体層を貫通して埋込み絶縁体層に延びる誘電分離領域を形成するステップを有する。半導体基板の一部を露出させるために、誘電分離領域および埋込み絶縁層を貫通する開口がエッチングによって形成される。半導体基板内にPN接合ダイオードを形成するために、半導体基板の露出された部分が不純物でドープされる。コンデンサの第1のプレートを形成するために、単結晶半導体層の一部に不純物ドーパントがドープされ、単結晶半導体層の一部の上を覆う絶縁体層が形成される。コンデンサの第2のプレートを形成するために、絶縁体層の上を覆う導電性電極が形成される。コンデンサの第2のプレートと、ダイオードとに第1のバスが接続され、コンデンサの第1のプレートに第2のバスが接続される。
以下、図面を参照して本発明を記載する。図面において同じ参照符号は類似する要素を参照している。
先行技術のデカップリングコンデンサの一部を示す部分断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。 本発明の各種実施形態に係るSOI集積回路の製造方法の工程を示す断面図。
以下の詳細な説明は、本来例示的なものに過ぎず、本発明または本発明の用途および利用を限定することを意図するものではない。更に、上記の技術分野、背景技術、発明の開示、あるいは以下の詳細な説明に明示または暗示した理論のいずれかにより拘束されることを意図するものではない。
図1は、シリコンオンインシュレータ(SOI)集積回路(IC)デバイス構造の一部に実装された従来のデカップリングコンデンサ構造20の構成要素を、部分断面図で示す。このようなIC構造は、分散された複数のMOSコンデンサ22(そのうちの1つのみが図示されている)を備えることがあり、その各々は、トッププレート24、ボトムプレート26およびコンデンサ誘電体28を有する。トッププレート24は、通常、ICの残りの部分を構成するMOSトランジスタのゲート電極と同じ材料から形成される。コンデンサ誘電体28は、通常、ICのMOSトランジスタのゲート誘電体に使用されているものと同じ材料から形成される。ボトムプレート26は、絶縁体32の上を覆うシリコンの薄膜層30で形成され、絶縁体32は、半導体基板34の上を覆っている。この例示的な図では、シリコンの層30はN形ドープされている。多量にドープされたN+コンタクト36は、トッププレート24との自己整合で形成され、層30とのオーミックコンタクトを取りやすくする。このコンデンサ構造を層間絶縁膜38が覆っており、ICの各種デバイスを相互接続するために使用されうる他のメタライゼーションの層からコンデンサを電気的に分離している。Vddバス40などのバスが、層間絶縁膜38を貫通する開口44内に形成されたメタライズドコンタクト42によって、トッププレート24に接続されている。Vssバス46などのバスが、層間絶縁膜38を貫通し、N+コンタクトとコンタクトをとっている開口50内に形成されたメタライズドコンタクト48によって、ボトムプレート26に接続されている。各バスについて、バスと、コンデンサの各プレート間で良好にコンタクトがとられるように、通常は、複数のメタライズドコンタクトが使用される。また、この2本のバス間に複数のコンデンサ構造が接続されており、このようなコンデンサ構造は集積回路中に散見される。
図2乃至11は、本発明の実施形態に係るシリコンオンインシュレータCMOS集積回路53の一部として、デカップリングコンデンサ52の一部を形成するための方法の工程を、断面図で示す。本発明の一実施形態によれば、以下に更に詳しく説明するように、デカップリングコンデンサ52は、コンデンサ誘電体の破壊を防ぐために、処理中にコンデンサまたは任意の非グランドのノードに蓄積された電荷を安全に放電することができる少なくとも1つの放電経路を有する。「MOSデバイス」との用語は、厳密には、金属のゲート電極と酸化物のゲート絶縁体を有するデバイスを指すが、この用語は、本明細書全体において、半導体基板の上に配置されたゲート絶縁体(酸化物またはその他の絶縁体)の上に、導電性のゲート電極(金属またはその他の導電材料)が配置されている半導体デバイスであれば、どのようなものを指すために使用される。この説明のための実施形態では、CMOS集積回路53のほんの一部、詳細には、1つのNチャネルMOSトランジスタ(NMOSトランジスタ)および1つのPチャネルMOSトランジスタ(PMOSトランジスタ)に加えて、デカップリングコンデンサ52が形成されている回路の一部が示されている。CMOSデバイスの製造におけるさまざまな工程が公知となっており、簡潔を期するために、本明細書では、公知のプロセスの詳細を記載せず、従来の工程の多くは、簡潔に説明するか、あるいは説明を完全に省略する。この説明のための実施形態では、集積回路をCMOS回路として記載しているが、本発明は、単一チャネル型のMOS回路の製造にも適用することができる。本願は同時係属中の米国特許出願第11/133,969号に関連しており、その開示を、参照によりその全体を援用する。
図2に示すように、本発明の一実施形態に係る方法は、半導体基板54の提供から始まる。半導体基板は、好ましくは、単結晶シリコン支持基板34の上を覆って単結晶シリコン層30が形成されているシリコン基板である。本明細書で使用する「シリコン層」および「シリコン基板」との用語は、半導体業界において一般的に使用される比較的純粋な単結晶シリコン材料または微量の不純物がドープされた単結晶シリコン材料のほか、実質的に単結晶の半導体材料を形成するゲルマニウム、炭素などの他の元素が混入されたシリコンも含む。説明をわかりやすくするため、本明細書では、通常、半導体材料を「シリコン材料」と呼ぶが、これに限定されない。単結晶シリコン層30は、NチャネルとPチャネルのMOSトランジスタのほか、デカップリングコンデンサ52の形成にも使用される。単結晶シリコン基板34は、単結晶シリコン層30を支持しており、本発明の一実施形態によれば、害を及ぼす可能性のあるデカップリングコンデンサ52に蓄積された電荷を放電するための放電経路の形成に使用される。単結晶シリコン層30は、公知のウェハのボンディング技術および薄層化技術によって、単結晶シリコン層30を単結晶支持基板34から分離している誘電性の絶縁層32と共に、単結晶シリコン支持基板34に接合される。単結晶シリコン層は、実装する回路機能に応じて、約50〜300ナノメートル(nm)の厚さまで薄層化される。単結晶シリコン層と単結晶シリコン支持基板は、いずれも、好ましくは少なくとも約1〜35オーム/スクエア(ohms per square)の抵抗率(resistivity)を有する。本発明の一実施形態によれば、薄膜シリコン層30にはN形不純物がドープされており、単結晶支持基板34にはP型不純物がドープされている。誘電性絶縁層32(通常は二酸化シリコン)の膜厚は、好ましくは約50〜200nmである。
ウェハ接合技術の1つの代替技術として、単結晶半導体基板54が、SIMOXプロセスによって形成されてもよい。SIMOXプロセスとは、単結晶シリコン基板34の表面下領域に酸素イオンが注入される公知のプロセスである。続いて、単結晶シリコン基板および注入された酸素が加熱され、基板の上部分(SOI層30)を、単結晶シリコン基板34の残りの部分から電気的に分離する表面下酸化シリコン誘電層32が形成される。SOI層30の膜厚は、注入イオンのエネルギーによって決まる。SOI層の形成に使用される方法を問わず、誘電層32は、通常、「埋め込み酸化物(buried oxide)」または「BOX」と呼ばれており、本明細書でもそのように呼ぶ。
半導体基板54の提供後、本発明の一実施形態に係る方法では、図3に示すように、単結晶シリコン層30を貫通して誘電層またはBOX32に延びる絶縁分離領域56〜58の形成が続く。絶縁分離領域は、好ましくは、公知の浅部トレンチアイソレーション(STI)技術によって形成され、この技術では、単結晶シリコン層30をエッチングしてトレンチを形成し、このトレンチに、堆積させた二酸化シリコンなどの誘電材料を埋め込み、余分な二酸化シリコンをCMPによって除去する。公知のように、STIの形成に使用できるプロセスは数多く存在し、このため、このプロセスについてここで詳細に説明する必要はない。この説明のための例では、1つのNチャネルMOSトランジスタ300、1つのPチャネルMOSトランジスタ200および1つのデカップリングコンデンサ52のみを示す。所望の回路機能を実装するために、複数のNチャネルMOSトランジスタ、複数のP-チャネルMOSトランジスタおよび複数のデカップリングコンデンサを含むその他のデバイスが数多く必要になることがあることを当業者は理解するであろう。したがって、単結晶シリコン層30中およびその上に形成されるCMOS回路のその他の各種デバイス間を電気的に分離するために、追加のSTI領域(図示なし)を適宜形成することができる。
本発明の一実施形態によれば、薄膜単結晶シリコン層30の絶縁分離領域56と57の間の部分60が、N形ドープされうる。このN型のドープは、層30に元からドープされていても、イオン注入などによって後からドープされてもよい。薄膜単結晶シリコン層30の部分60は、デカップリングコンデンサ52のボトムプレートを形成する。同様に、薄膜単結晶シリコン層30の絶縁分離領域57と58の間の部分61も、N形ドープされうる。部分61は、Pチャネルトランジスタ200の形成に使用される。層30の絶縁分離領域56に隣接する部分63は、例えばイオン注入によってP型ドープされる。部分63は、Nチャネルトランジスタ300の形成に使用される。層30の特段に注入が行われない部分は、公知のフォトリソグラフィ技術とイオン注入技術に従って、パターンニングされたフォトレジスト層によってマスクされうる。図3に示すように、少なくともSOI層の部分60、部分61、および部分63の表面に、誘電材料層62が形成される。誘電材料62の膜厚は、好ましくは約1〜3nmであり、最も好ましくは約1.5〜2.0nmである。誘電材料62は、Pチャネルトランジスタ200、Nチャネルトランジスタ300のゲート絶縁体、およびコンデンサ52のコンデンサ誘電体を形成する。この3つのデバイスのすべてに層62を使用する必要はなく、ある誘電層をコンデンサ誘電体に使用し、別の誘電層を、トランジスタ200および/または300のゲート絶縁体に使用してもよいが、3つのデバイスのすべてに層62を使用すれば、方法の工程数を最小限に抑えることができる。誘電材料は、酸化環境でシリコン層30を加熱することで形成した熱成長二酸化シリコンでも、酸化シリコン、酸窒化シリコン、窒化シリコン、あるいはHfSiOなどの誘電定数の高い誘電体の堆積層でもよい。堆積させた絶縁体は、化学気相成長法(chemical vapor deposition:CVD)、低圧化学気相成長法(low pressure chemical vapor deposition:LPCVD)、またはプラズマ化学気相成長法(plasma enhanced chemical vapor deposition:PECVD)によって堆積させることができる。図に示すように、層62は、絶縁分離領域のほか、薄膜シリコン層30の上にも堆積させた堆積層である。デカップリングコンデンサ52のトッププレート64、PチャネルMOSトランジスタ200のゲート電極202、およびNチャネルMOSトランジスタ300のゲート電極302を形成するために、誘電材料の層の上に、多結晶シリコンまたはその他のゲート電極形成材料の層が堆積され、パターニングされる。説明の便宜上、以降、ゲート電極形成材料を「多結晶シリコン」と呼ぶが、これは限定ではなく、他の材料も使用できることを当業者は認めるであろう。多結晶シリコンは、CVDまたはLPCVDによるシラン(SiH)の還元により堆積させることができる。ゲート電極のパターニングおよびエッチングを容易にするために、酸化シリコン、窒化シリコン、酸窒化シリコンなどのハードマスク材料の層(図示なし)も、多結晶シリコンの層上に堆積してもよい。多結晶シリコン層は、パターニングしたフォトレジスト層と従来のフォトリソグラフィ技術、およびClまたはHBr/O化学種でのエッチングを使用してパターニングすることができる。本発明の好ましい実施形態では、トッププレート64、ゲート電極202およびゲート電極302の端に、側壁スペーサ66が形成される。側壁スペーサは、公知の方法で、酸化シリコン、窒化シリコンなどの層を異方的にエッチングすることによって形成することができる。スペーサ形成材料の層が、例えばCHF、CFまたはSFの化学種を使用するリアクティブイオンエッチング(RIE)によって異方的にエッチングされ、実質的に水平な表面(多結晶シリコンの特徴の上部)からこの層が除去される一方、実質的に垂直な表面(多結晶シリコンの特徴の側壁)にある層が残されうる。
図4に示すように、絶縁分離領域57とその下層の誘電層32を貫通して、少なくとも1つの開口74がエッチングされる。本発明の好ましい実施形態によれば、絶縁分離領域とその下層の誘電層を貫通して、第2の開口75もエッチングされる。開口74と開口75が同じ絶縁分離領域を貫通してエッチングされるように図示されているが、2つの開口が別の分離領域を貫通してエッチングされてもよい。開口74および75は、好ましくはリアクティブイオンエッチングによって、異方的にエッチングされる。誘電層は、例えばCF、CHFまたはSFの化学種を使用してリアクティブイオンエッチングされうる。開口74は単結晶シリコン支持基板34の表面の部分98を露出させており、開口75は該支持基板の部分99を露出させている。エッチングでは、例えば、パターンニングされたフォトレジストの層(図示せず)によってマスクされうる。
また、図4には、矢印76によって示すように、ボロンイオンまたはその他のP型導電性決定イオンが開口75を通って単結晶シリコン支持基板34に注入され、支持基板内にコンタクト領域78が形成される。また、同じP型イオン注入が、薄膜単結晶シリコン層に30にも行われ、集積回路53のPチャネルMOSトランジスタ200のソース204領域とドレイン206領域が形成される。ソース領域とドレイン領域のイオン注入は、ゲート電極202と対応する側壁スペーサ66によってマスクされており、このためこれらに自己整合される。P型イオン注入時には、その他のデバイスは、パターンニングされたフォトレジスト層(図示せず)によってマスクされうる。
開口75を介したP型導電性決定イオンの注入の前後のいずれかに、図5に示すように、矢印174によって示すように、ヒ素またはリンなどのN型導電性決定イオンが開口74を通って注入される。N型導電性決定イオンは、単結晶シリコン支持基板34に注入され、支持基板とPN接合ダイオード177を形成するN型領域176が形成される。同じN型イオン注入が使用され、トッププレート64と側壁スペーサ66をイオン注入マスクとして使用して、薄膜単結晶シリコン層30の部分60にイオンを注入することによって、トッププレート64との自己整合によりコンタクト領域68,70が形成される。この多量にドープした(N+)コンタクト領域は、デカップリングコンデンサのボトムプレートと良好な電気的コンタクトをとれるようにする。ダイオード領域176およびコンタクト領域68,70のイオン注入と同時に、同じ注入が、NチャネルMOSトランジスタ300のドレイン領域304とソース領域306の注入にも使用されうる。ソース領域とドレイン領域のイオン注入は、ゲート電極302と対応する側壁スペーサ66によってマスクされており、このためこれらに自己整合される。N型イオン注入中は、PチャネルMOSトランジスタ200と、集積回路のその他の領域は、例えばフォトレジストの層(図示せず)を使用するなどの公知の方法でマスクされうる。
マスクフォトレジスト層の除去後に、絶縁体層62の露出部分が除去され、本発明の一実施形態によれば、この構造の全面に、ニッケル、コバルト、チタン、パラジウムなどのシリサイド形成金属の層が堆積される。シリサイド形成金属は、イオン注入したコンタクト領域78、ダイオード領域176、コンデンサ構造52の領域68,70および多結晶シリコントッププレート64、PMOSトランジスタ200のソース領域204とドレイン領域206およびゲート電極202と接触するほか、NMOSトランジスタ300のドレイン領域304とソース領域306およびゲート電極302と接触して堆積される。シリサイド形成金属の膜厚は、好ましくは約5〜15nmである。シリサイド形成金属が、好ましくは約350〜500℃の温度に加熱され、金属を、その金属が接触しているシリコンと反応させ、図6に全て示すように、コンタクト領域68,70上に、それぞれ金属シリサイドコンタクト領域80および82が、コンタクト領域78上に金属シリサイドコンタクト84が、ダイオード領域176上に金属シリサイドコンタクト178が、多結晶シリコントッププレート64上に金属シリサイドコンタクト86が、MOSトランジスタ200上に金属シリサイドコンタクト208,210が、MOSトランジスタ300上に金属シリサイドコンタクト308,310が、それぞれ形成される。シリコンと接触していない金属(例えば、誘電分離領域に堆積された金属)は、加熱工程中に反応せず、例えばH/HSOまたはHNO/HCl溶液中でのウエットエッチングによって除去される。MOSトランジスタ200,300のゲート電極への金属シリサイドコンタクト209,309も、同時に形成されうる。
本発明の一実施形態によれば、酸化シリコンなどの層間絶縁膜材料層88が全面に堆積され、多結晶シリコンの特徴とシリサイド化領域が覆われ、開口74および75が埋め込まれる。続いて層88が、フォトリソグラフィ法によってパターニングされ、エッチングされて、図7に示すように、金属シリサイドコンタクト80,82,84,178,86,208,210,308,310の一部を露出する開口90が形成される。層間絶縁膜材料層88は、例えば、CVDによるテトラエチルオルソシリケート(TEOS)等の原料物質の分解によって堆積することができ、例えばCHF、CFまたはSFの化学種を使用するリアクティブイオンエッチングによってエッチングされうる。開口90内に導電プラグが形成される。導電プラグ92は金属シリサイドコンタクト80とコンタクトをとり、導電プラグ94は金属シリサイドコンタクト82とコンタクトをとり、導電プラグ96は金属シリサイドコンタクト84とコンタクトをとり、コンタクトプラグ180は金属シリサイドコンタクト178とコンタクトをとり、導電プラグ98はコンデンサ構造52の金属シリサイドコンタクト86とコンタクトをとる。同様に、導電プラグ212,214,312,314は、金属シリサイドコンタクト208,210,308,310とそれぞれコンタクトをとる。導電プラグは、例えばチタンの層を堆積させ、窒化チタンの層を形成し、タングステンの層を堆積させるなど、従来の方法で形成することができる。余分なプラグ材料は、CMPプロセスによって層間絶縁膜材料88の表面から除去されうる。
本発明の実施形態によれば、図8〜11に示すように、1層以上の金属の層を堆積し、パターニングして、Vddバス100およびVssバス102を形成することにより、デカップリングコンデンサ構造が完成される。必要なバスおよびその他の相互接続メタライゼーションの配線には、通常、数層のメタライゼーションの層が必要となる。これらのメタライゼーションの層は、誘電材料層によって電気的に分離されうる。金属の層は、アルミニウム、銅、アルミニウムまたは銅の合金などから形成されうる。アルミニウムのメタライゼーションは、一般に、堆積させ、次にフォトリソグラフィ法によってパターニングされてエッチングされるのに対し、銅のメタライゼーションは、一般に、ダマシンプロセスによってパターニングされることを当業者は理解するであろう。図8〜11は、アルミニウムなどの金属からVddバス100およびVssバス102を形成する工程を模式的に示す。
図8に示すように、誘電層88の上に、導電プラグと接触して、アルミニウムまたはアルミニウム合金などの金属の層400が堆積される。図9に示すように、金属の層がパターニングされて、NチャネルMOSトランジスタ300のドレイン304に電気的に接続され、デカップリングコンデンサ52のトッププレート64とダイオード177とに電気的に接続されたVddバス100の部分が形成される。また、金属の層がパターニングされ、デカップリングコンデンサ52のボトムプレート60、PチャネルMOSトランジスタ200のドレイン領域206、および基板コンタクト78に電気的に接続されたVssバス102の部分も形成される。
図10に示すように、本発明の一実施形態に従って、本方法では次に、誘電層88およびパターニングされた金属層400の上を覆う別の誘電層402が堆積される。好ましくは、誘電層402の上面が、例えばCMPプロセスによって平坦化される。Vddバス100の一部を露出させるために、開口404がパターニングされて、誘電層402を貫通してエッチングされる。開口404に導電プラグ406が埋め込まれ、誘電層402の平坦化された上面の上に、導電プラグ406と電気的に接触して、別の金属の層408が堆積される。
図11に示すように、金属層408がパターニングされ、エッチングされて、例えば、外部電源に接続されうるVddバスの部分410が形成されうる。図面は二次元という制限があるために、図10および11には図示されていないが、Vssバス102の一部を露出させるために、別の開口がパターニングされ、誘電層402を貫通してエッチングされ、これらの開口に導電プラグが埋め込まれて、これらの導電プラグと電気的に接続するために、金属層408の一部がパターニングされうる。更に、ターミナル412に示されるように、基板34にVss接続が作成されうる。
Vddバスは、導電プラグ98に接続されており、このためデカップリングコンデンサ52のトッププレート64にも接続されている。Vssバスは、導電プラグ92と94に接続されており、このためデカップリングコンデンサ52のボトムプレート60にも接続されている。このように、デカップリングコンデンサは、2本の電圧バス間に接続されている。本発明の一実施形態によれば、Vddバスは、導電プラグ180にも接続されており、このため、支持基板34内に形成されうるPN接合ダイオード177にも接続されており、コンデンサ52のトッププレートの上に蓄積された電荷の放電経路を提供する。トッププレート64上に蓄積された陽電荷は、PN接合ダイオード177の逆方向バイアスリーク電流として、基板にリークされうる。トッププレート64上に蓄積された負電荷は、PN接合ダイオード177の順方向バイアス電流として、基板にリークされうる。また、本発明の別の実施形態によれば、Vssバスは、導電プラグ96にも接続されており、このため、支持基板34にも接続されており、コンデンサのボトムプレートの上に蓄積されうる電荷の別の放電経路を提供する。
少なくとも集積回路53のMOSトランジスタの一部では、Vddバスは、導電プラグ312にも接続されており、このため、NチャネルMOSトランジスタ300のドレインにも接続されており、Vssバスは、導電プラグ212にも接続されており、このため、PチャネルMOSトランジスタ200のドレインにも接続されている。図面は二次元という制限があるために、構成要素間の直接接続の一部が、点線414によって模式的に示されている。図11には、VddからPN接合ダイオード177に延びる放電経路が図示されているが、放電経路が、IC53の製造に使用される各種のプラズマエッチング工程および堆積工程で発生する電荷の蓄積によって被害を受ける可能性のある、どの非グランドの回路ノードから延びるように接続されてもよい。放電経路が、MOSトランジスタの不純物ドープ領域からPN接合ダイオード177に延びるように説明したが、図示はないものの、放電経路が、MOSトランジスタ300のゲート電極302などのゲート電極からPN接合ダイオードに延びていてもよい。
上記の詳細な説明において少なくとも1つの例示的な実施形態を示したが、膨大な数の変形例が存在することを理解されたい。例えば、上記の方法の工程の順序は、例示のみを目的としており、限定するものではない。同様に、列挙した金属、絶縁体およびイオン種は、例示に過ぎない。図8〜11では、VddバスとVssバスが、集積回路内で同じメタライゼーション階層に形成されるように図示されているが、別のメタライゼーション階層で形成されてもよい。また、この少なくとも1つの例示的な実施形態は例に過ぎず、いかなる形であれ本発明の範囲、利用可能性または構成を限定することを意図するものではないことも理解されたい。上記の詳細な説明は、当業者にとって、少なくとも1つの例示的な実施形態を実装するうえで有用な道標となる。添付の特許請求の範囲とその法的均等物に規定されている本発明の範囲から逸脱することなく、各種要素の機能および構成をさまざまに変更することができることを理解すべきである。

Claims (10)

  1. 半導体基板[34]、前記半導体基板の上を覆う埋込み絶縁体層[32]、および前記埋込み絶縁体層の上を覆う単結晶半導体層[30]を有する半導体オンインシュレータ(SOI)デバイス[53]の製造方法であって、
    第1の電圧バス[100]と第2の電圧バス[102]の間に結合されたMOSコンデンサ[52]を形成するステップであって、前記MOSコンデンサは、前記MOSコンデンサの第1のプレート[64]を形成し、前記第1の電圧バス[100]に結合されたゲート電極材料と、前記ゲート電極材料の下部に、前記MOSコンデンサの第2のプレートを形成し、前記第2のバス[102]に結合された前記単結晶半導体層内の不純物ドープ領域[60]と、を有するステップと、
    前記MOSコンデンサ[52]の前記第1のプレート[64]を、前記半導体基板[34]内に形成されたダイオード[177]に結合する放電経路[86,98,180,178]を形成するステップと含む方法。
  2. 放電経路を形成する前記ステップは、
    前記単結晶半導体層[30]を貫通して前記埋込み絶縁体層[32]まで延びる誘電分離領域[57]を形成するステップと、
    前記半導体基板の一部[98]を露出させるために、前記誘電分離領域および前記埋込み絶縁層を貫通する開口[74]をエッチングによって形成するステップと、
    前記半導体基板内にPN接合ダイオード[177]を形成するために、前記開口を通って第1の導電性決定不純物をイオン注入するステップと、
    前記半導体基板内の前記PN接合ダイオード[177]に前記第1の電圧バス[100]を接続するステップとを含む請求項1に記載の方法。
  3. 前記MOSコンデンサ[52]の前記第2のプレート[60]を、前記半導体基板[34]に結合する第2の放電経路[82,94,96,84]を形成するステップを更に含む請求項1に記載の方法。
  4. P型半導体基板[34]、前記P型半導体基板の上を覆う埋込み絶縁体層[32]、および前記埋込み絶縁体層の上を覆う単結晶半導体層[30]を有する半導体オンインシュレータ(SOI)デバイス[53]の製造方法であって、
    前記単結晶半導体層を貫通して延びる絶縁分離領域[56,57,58]を形成するステップと、
    前記P型半導体基板の一部[98]を露出させるために、前記誘電分離領域および前記埋込み絶縁体層[32]の1つ[57]を貫通して延びる開口[74]をエッチングするステップと、
    前記P型半導体基板と共にPN接合ダイオード[177]を形成するN型領域[176]を形成するために、前記開口を貫通して露出された前記P型半導体基板の前記一部にN型不純物をドープするステップと、
    コンデンサ[52]の第1のプレートを形成するために、前記単結晶半導体層[30]の一部[60]にN型不純物ドーパントをドープするステップと、
    前記単結晶半導体層の前記一部の上を覆う絶縁体層[62]を形成するステップと、
    前記コンデンサの第2のプレートを形成するために、前記絶縁体層[62]の上を覆う導電性電極[64]を形成するステップと、
    前記コンデンサの前記第2のプレート[64]と前記N型領域[176]とに第1のバス[100]を結合するステップと、
    前記コンデンサの前記第1のプレート[60]に第2のバス[102]を結合するステップとを含む方法。
  5. 前記P型半導体基板[34]の第2の一部[99]を露出させるために、前記誘電分離領域および前記埋込み絶縁体層[32]の1つ[57]を貫通して延びる第2の開口[75]をエッチングするステップと、
    前記P型半導体基板へのコンタクト[78]を形成するために、前記P型半導体基板の前記第2の一部[99]にP型不純物をドープするステップと、
    前記コンデンサの前記第1のプレート[60]と、前記P型半導体基板への前記コンタクト[78]とに前記第2のバス[102]を結合するステップとを更に含む請求項4に記載の方法。
  6. 導電性電極[64]を形成する前記ステップは、
    前記絶縁体層の上を覆う多結晶シリコンの層を堆積するステップと、
    導電性電極[64]、NMOSトランジスタ[300]のゲート電極[302]およびPMOSトランジスタ[200]のゲート電極[202]を形成するために前記多結晶シリコンの層をパターニングするステップとを含む請求項4に記載の方法。
  7. 半導体オンインシュレータ(SOI)デバイス[53]であって、
    半導体基板[34]と、
    前記半導体基板の上を覆う埋込み絶縁体層[32]と、
    前記埋込み絶縁体層の上を覆う単結晶半導体層[30]と、
    MOSコンデンサ[52]と、を有し、前記MOSコンデンサ[52]は、
    前記MOSコンデンサ[52]の第1のプレートを形成する前記単結晶半導体層内の不純物ドープ領域[60]と、
    前記不純物ドープ領域[60]の上を覆う誘電層[62]と、
    前記誘電層の上を覆い、前記MOSコンデンサの第2のプレートを形成する導電材料[64]とを有し、
    前記半導体基板内に形成されたPN接合ダイオード[177]と、
    前記第1のプレート[60]に結合された第1の電圧バス[102]と、
    前記第2のプレート[64]と前記PN接合ダイオード[177]とに接続された第2の電圧バス[100]とを有する半導体オンインシュレータ(SOI)デバイス。
  8. 前記半導体基板への電気的コンタクト[78]と、
    前記電気的コンタクトを前記第1の電圧バスに結合する相互接続[84,96,94,82]とを更に有する請求項7に記載の半導体オンインシュレータ(SOI)デバイス。
  9. 前記単結晶半導体層[30]の第1の電気的に分離された部分[61]内に形成されたPMOSトランジスタ[200]と、
    前記単結晶半導体層[30]の第2の電気的に分離された部分[63]内に形成されたNMOSトランジスタ[300]とを更に有し、
    前記MOSコンデンサ[52]は、前記単結晶半導体層の第3の電気的に分離された部分[60]内に形成されている請求項8に記載の半導体オンインシュレータ(SOI)デバイス。
  10. 前記PMOSトランジスタ[200]のドレイン[206]は前記第1の電圧バス[102]に接続されており、前記NMOSトランジスタ[300]のドレイン[304]は前記第2の電圧バス[100]に接続されている請求項9に記載の半導体オンインシュレータ(SOI)デバイス。
JP2009521776A 2006-07-21 2007-07-20 Soiデバイスおよびその製造方法 Pending JP2009545162A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/459,316 US7718503B2 (en) 2006-07-21 2006-07-21 SOI device and method for its fabrication
PCT/US2007/016453 WO2008011144A1 (en) 2006-07-21 2007-07-20 Soi device and method for its fabrication

Publications (1)

Publication Number Publication Date
JP2009545162A true JP2009545162A (ja) 2009-12-17

Family

ID=38683451

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009521776A Pending JP2009545162A (ja) 2006-07-21 2007-07-20 Soiデバイスおよびその製造方法

Country Status (8)

Country Link
US (2) US7718503B2 (ja)
JP (1) JP2009545162A (ja)
KR (1) KR20090042252A (ja)
CN (1) CN101512764B (ja)
DE (1) DE112007001725B4 (ja)
GB (1) GB2453487B (ja)
TW (1) TWI433305B (ja)
WO (1) WO2008011144A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014154818A (ja) * 2013-02-13 2014-08-25 Lapis Semiconductor Co Ltd 半導体装置、半導体装置の製造方法および半導体装置を搭載したシステム
JP2016164942A (ja) * 2015-03-06 2016-09-08 ラピスセミコンダクタ株式会社 半導体装置の製造方法および半導体積層構造物
JP2017183402A (ja) * 2016-03-29 2017-10-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888764B2 (en) * 2003-06-24 2011-02-15 Sang-Yun Lee Three-dimensional integrated circuit structure
EP1595285A1 (de) * 2003-01-30 2005-11-16 X-FAB Semiconductor Foundries AG Soi kontaktstruktur(en) und zugehöriges herstellungsverfahren
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US20110001172A1 (en) * 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
US7820519B2 (en) * 2006-11-03 2010-10-26 Freescale Semiconductor, Inc. Process of forming an electronic device including a conductive structure extending through a buried insulating layer
US8188543B2 (en) * 2006-11-03 2012-05-29 Freescale Semiconductor, Inc. Electronic device including a conductive structure extending through a buried insulating layer
US7675121B2 (en) * 2007-10-08 2010-03-09 International Business Machines Corporation SOI substrate contact with extended silicide area
US20090093092A1 (en) * 2007-10-08 2009-04-09 Dinh Dang Soi substrate contact with extended silicide area
KR101017809B1 (ko) * 2008-03-13 2011-02-28 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US8026131B2 (en) * 2008-12-23 2011-09-27 International Business Machines Corporation SOI radio frequency switch for reducing high frequency harmonics
US7999320B2 (en) * 2008-12-23 2011-08-16 International Business Machines Corporation SOI radio frequency switch with enhanced signal fidelity and electrical isolation
US8131225B2 (en) * 2008-12-23 2012-03-06 International Business Machines Corporation BIAS voltage generation circuit for an SOI radio frequency switch
DE102008063403A1 (de) * 2008-12-31 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale SOI-Bauelement mit einem vergrabenen isolierenden Material mit erhöhter Ätzwiderstandsfähigkeit
DE102010001400B4 (de) * 2010-01-29 2019-12-05 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG SOI-Halbleiterbauelement mit reduzierter Topographie über einem Substratfensterbereich
JP5721147B2 (ja) * 2010-03-09 2015-05-20 大学共同利用機関法人 高エネルギー加速器研究機構 半導体装置及び半導体装置の製造方法
DE102010020884B4 (de) * 2010-05-18 2018-03-15 Infineon Technologies Ag Halbleiterbauelement
US8426922B2 (en) * 2010-10-15 2013-04-23 United Microelectronics Corp. CMOS structure and latch-up preventing method of same
US9806190B2 (en) * 2010-10-28 2017-10-31 Texas Instruments Incorporated High voltage drain extension on thin buried oxide SOI
DE102011002877B4 (de) 2011-01-19 2019-07-18 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines SOI-Halbleiterbauelements mit einer Substratdiode und einer Schichtdiode, die unter Anwendung einer gemeinsamen Wannenimplantationsmaske hergestellt sind
US8878337B1 (en) * 2011-07-19 2014-11-04 Xilinx, Inc. Integrated circuit structure having a capacitor structured to reduce dishing of metal layers
US8847320B2 (en) * 2012-01-31 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Decoupling capacitor and layout for the capacitor
US8609533B2 (en) 2012-03-30 2013-12-17 GlobalFoundries, Inc. Methods for fabricating integrated circuits having substrate contacts and integrated circuits having substrate contacts
US20130328159A1 (en) * 2012-06-12 2013-12-12 International Business Machines Corporation Implementing isolated silicon regions in silicon-on-insulator (soi) wafers using bonded-wafer technique
US8525264B1 (en) * 2012-07-30 2013-09-03 International Busines Machines Corporation Photonic modulator with a semiconductor contact
US9012997B2 (en) * 2012-10-26 2015-04-21 International Business Machines Corporation Semiconductor device including ESD protection device
US9202751B2 (en) * 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions
US20160141226A1 (en) * 2014-11-14 2016-05-19 International Business Machines Corporation Device connection through a buried oxide layer in a silicon on insulator wafer
US20160197071A1 (en) * 2015-01-06 2016-07-07 Mediatek Inc. Integrated circuit device and method for forming the same
US10680120B2 (en) 2018-04-05 2020-06-09 Vanguard International Semiconductor Corporation Semiconductor device and method for manufacturing the same
KR102495516B1 (ko) * 2018-05-08 2023-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI782882B (zh) * 2018-06-01 2022-11-01 聯華電子股份有限公司 半導體裝置
WO2020024228A1 (zh) * 2018-08-02 2020-02-06 深圳市为通博科技有限责任公司 电容器及其制作方法
US11031320B2 (en) 2018-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for reducing process charging damages
US11450753B2 (en) * 2019-05-07 2022-09-20 Globalfoundries U.S. Inc. Edge cell signal line antenna diodes
US11723218B2 (en) * 2020-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US20220406608A1 (en) * 2021-06-18 2022-12-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
CN115831970A (zh) * 2021-09-18 2023-03-21 台湾积体电路制造股份有限公司 集成电路器件及制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0964198A (ja) * 1995-08-30 1997-03-07 Denso Corp 半導体集積回路装置
US6034388A (en) * 1998-05-15 2000-03-07 International Business Machines Corporation Depleted polysilicon circuit element and method for producing the same
US6475838B1 (en) * 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
JP2003347419A (ja) * 2002-05-23 2003-12-05 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2005347539A (ja) * 2004-06-03 2005-12-15 Toshiba Corp 半導体装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6558998B2 (en) 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
KR100302189B1 (ko) * 1999-10-05 2001-11-02 윤종용 에스.오.아이(soi)구조를 갖는 반도체 소자 및 그 제조방법
US6303414B1 (en) 2000-07-12 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of forming PID protection diode for SOI wafer
US6452234B1 (en) 2000-11-27 2002-09-17 Advanced Micro Devices, Inc. How to improve the ESD on SOI devices
FR2847715B1 (fr) 2002-11-25 2005-03-11 Commissariat Energie Atomique Circuit integre comportant des sous-ensembles connectes en serie
JP2005228779A (ja) * 2004-02-10 2005-08-25 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2006303377A (ja) * 2005-04-25 2006-11-02 Renesas Technology Corp 半導体装置
US7465639B1 (en) * 2005-05-20 2008-12-16 Advanced Micro Devices, Inc. Method for fabricating an SOI device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0964198A (ja) * 1995-08-30 1997-03-07 Denso Corp 半導体集積回路装置
US6034388A (en) * 1998-05-15 2000-03-07 International Business Machines Corporation Depleted polysilicon circuit element and method for producing the same
US6475838B1 (en) * 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
JP2003347419A (ja) * 2002-05-23 2003-12-05 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2005347539A (ja) * 2004-06-03 2005-12-15 Toshiba Corp 半導体装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014154818A (ja) * 2013-02-13 2014-08-25 Lapis Semiconductor Co Ltd 半導体装置、半導体装置の製造方法および半導体装置を搭載したシステム
JP2016164942A (ja) * 2015-03-06 2016-09-08 ラピスセミコンダクタ株式会社 半導体装置の製造方法および半導体積層構造物
JP2017183402A (ja) * 2016-03-29 2017-10-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US7718503B2 (en) 2010-05-18
DE112007001725B4 (de) 2013-10-17
KR20090042252A (ko) 2009-04-29
CN101512764A (zh) 2009-08-19
GB0901334D0 (en) 2009-03-11
CN101512764B (zh) 2013-01-09
US20080017906A1 (en) 2008-01-24
TW200822347A (en) 2008-05-16
TWI433305B (zh) 2014-04-01
WO2008011144A1 (en) 2008-01-24
US7915658B2 (en) 2011-03-29
GB2453487B (en) 2009-12-30
US20100187586A1 (en) 2010-07-29
DE112007001725T5 (de) 2009-06-10
GB2453487A (en) 2009-04-08

Similar Documents

Publication Publication Date Title
TWI433305B (zh) Soi裝置及其製造之方法
JP5079687B2 (ja) Soiデバイスの製造方法
KR101319982B1 (ko) 낮은 접촉저항을 갖는 cmos 회로 및 그 제조를 위한 방법
US7193262B2 (en) Low-cost deep trench decoupling capacitor device and process of manufacture
US7986008B2 (en) SOI semiconductor components and methods for their fabrication
US8878306B2 (en) Semiconductor device
JP2001148472A (ja) 半導体装置及びその製造方法
US8558313B2 (en) Bulk substrate FET integrated on CMOS SOI
US9871032B2 (en) Gate-grounded metal oxide semiconductor device
KR101377705B1 (ko) 단위 면적당 고 커패시턴스를 갖는 커패시터를 포함하는 반도체 소자를 제조하기 위한 방법
US7465639B1 (en) Method for fabricating an SOI device
US7622348B2 (en) Methods for fabricating an integrated circuit
JPWO2003069675A1 (ja) 半導体装置の製造方法
US8329519B2 (en) Methods for fabricating a semiconductor device having decreased contact resistance
JP3588566B2 (ja) 半導体装置の製造方法
US6284614B1 (en) Method of manufacturing semiconductor device in which damage to gate insulating film can be reduced
JP2004274075A (ja) 半導体装置

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100706

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120912

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130313