DE102019129155A1 - Halbleiterpakete mit bridge-die - Google Patents

Halbleiterpakete mit bridge-die Download PDF

Info

Publication number
DE102019129155A1
DE102019129155A1 DE102019129155.0A DE102019129155A DE102019129155A1 DE 102019129155 A1 DE102019129155 A1 DE 102019129155A1 DE 102019129155 A DE102019129155 A DE 102019129155A DE 102019129155 A1 DE102019129155 A1 DE 102019129155A1
Authority
DE
Germany
Prior art keywords
die
semiconductor
semiconductor die
bridge
package
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019129155.0A
Other languages
English (en)
Inventor
Jong Hoon Kim
Ki Bum Kim
Bok Kyu CHOI
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
SK Hynix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SK Hynix Inc filed Critical SK Hynix Inc
Publication of DE102019129155A1 publication Critical patent/DE102019129155A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Halbleiterpaket schließt ein erstes Halbleiter-Die und einen Stapel von zweiten Halbleiter-Dies ein, die auf einem Paketsubstrat angeordnet sind. Das Halbleiterpaket beinhaltet ferner ein erstes Bridge-Die mit ersten Durchkontaktierungen, die das erste Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden, ein zweites Bridge-Die mit zweiten Durchkontaktierungen, die den Stapel der zweiten Halbleiter-Dies mit dem Paketsubstrat elektrisch verbinden, und ein drittes Halbleiter-Die, das angeordnet ist, um sich mit dem ersten Halbleiter-Die und dem Stapel der zweiten Halbleiter-Dies zu überlappen. Darüber hinaus schließt das Halbleiterpaket ferner Umverteilungsleitungen ein, die das dritte Halbleiter-Die mit dem zweiten Bridge-Die elektrisch verbinden.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Die vorliegende Anmeldung beansprucht die Priorität gemäß 35 U.S.C 119(a) gegenüber der am 25. Januar 2019 eingereichten koreanischen Anmeldung Nr. 10-2019-0009634 , die hierin durch Bezugnahme in ihrer Gesamtheit eingeschlossen ist.
  • HINTERGRUND
  • Anwendungsgebiet
  • Die vorliegende Offenbarung bezieht sich auf Halbleiterpakettechnologien und insbesondere auf Halbleiterpakete mit einem Bridge-Die.
  • Beschreibung des Standes der Technik
  • In letzter Zeit wurden große Anstrengungen unternommen, um eine Vielzahl von Halbleiter-Dies in ein einziges Halbleiterpaket zu integrieren. Das heißt, es wurden Versuche unternommen, die Integrationsdichte der Pakete zu erhöhen, um Hochleistungs-Halbleiterpakete zu realisieren, die eine große Datenmenge mit hoher Geschwindigkeit mi einem multifunktionalen Betrieb verarbeiten. So kann beispielsweise eine SiP-Technik (System in Package) als eine attraktive Möglichkeit für die Realisierung der Hochleistungs-Halbleiterpakete angesehen werden. Ein Silizium-Interposer kann in Halbleiterpaketen verwendet werden, um eine Vielzahl von Halbleiter-Dies elektrisch miteinander zu verbinden. In den SiPs wurde der Silizium-Interposer verwendet, um ein Mikroprozessor-Die elektrisch mit einem Speicher-Die zu verbinden.
  • ZUSAMMENFASSUNG
  • Gemäß einer Ausführungsform beinhaltet ein Halbleiterpaket ein erstes Halbleiter-Die, das auf einem Paketsubstrat angeordnet ist, einen Stapel von zweiten Halbleiter-Dies, die auf dem Paketsubstrat angeordnet sind, um von dem ersten Halbleiter-Die beabstandet zu sein, ein erstes Bridge-Die mit ersten Durchkontaktierungen, die das erste Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden, ein zweites Bridge-Die mit zweiten Durchkontaktierungen, die den Stapel der zweiten Halbleiter-Dies mit dem Paketsubstrat elektrisch verbinden, ein drittes Halbleiter-Die, das so angeordnet ist, dass es sich mit dem ersten Halbleiter-Die und dem Stapel der zweiten Halbleiter-Dies überlappt, erste innere Verbinder, die das erste Halbleiter-Die elektrisch mit dem dritten Halbleiter-Die verbinden, zweite innere Verbinder, die den Stapel der zweiten Halbleiter-Dies elektrisch mit dem dritten Halbleiter-Die verbinden, und Umverteilungsleitungen, die so angeordnet sind, dass sie das dritte Halbleiter-Die elektrisch mit dem zweiten Bridge-Die verbinden.
  • Gemäß einer weiteren Ausführungsform beinhaltet ein Halbleiterpaket ein erstes Halbleiter-Die, das auf einem Paketsubstrat angeordnet ist, einen Stapel von zweiten Halbleiter-Dies, die auf dem Paketsubstrat angeordnet sind, um von dem ersten Halbleiter-Die beabstandet zu sein, ein erstes Bridge-Die mit ersten Durchkontaktierungen, die das erste Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden, ein zweites Bridge-Die mit zweiten Durchkontaktierungen, die den Stapel der zweiten Halbleiter-Dies mit dem Paketsubstrat elektrisch verbinden, ein Träger-Die, das so angeordnet ist, dass es sich mit dem ersten Halbleiter-Die und dem Stapel der zweiten Halbleiter-Dies überlappt, Leitungsmuster, die auf einer Oberfläche des Träger-Dies angeordnet sind, erste innere Verbinder, die das erste Halbleiter-Die elektrisch mit den Leitungsmustern verbinden, und zweite innere Verbinder, die den Stapel der zweiten Halbleiter-Dies elektrisch mit den Leitungsmustern verbinden.
  • Gemäß noch einer weiteren Ausführungsform beinhaltet ein Halbleiterpaket ein erstes Halbleiter-Die, das auf einem Paketsubstrat angeordnet ist, ein zweites Halbleiter-Die, das auf dem Paketsubstrat angeordnet ist, um von dem ersten Halbleiter-Die beabstandet zu sein, ein erstes Bridge-Die mit ersten Durchkontaktierungen, die das erste Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden, ein zweites Bridge-Die mit zweiten Durchkontaktierungen, die das zweite Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden, ein drittes Halbleiter-Die, das so angeordnet ist, dass es sich mit dem ersten Halbleiter-Die und dem zweiten Halbleiter-Die überlappt, erste innere Verbinder, die das erste Halbleiter-Die elektrisch mit dem dritten Halbleiter-Die verbinden, zweite innere Verbinder, die das zweite Halbleiter-Die elektrisch mit dem dritten Halbleiter-Die verbinden, und Umverteilungsleitungen, die angeordnet sind, um das dritte Halbleiter-Die elektrisch mit dem zweiten Bridge-Die zu verbinden.
  • Figurenliste
  • Es zeigen:
    • 1 eine Querschnittsansicht, die ein Halbleiterpaket gemäß einer Ausführungsform darstellt.
    • 2 eine vergrößerte Ansicht eines Abschnitts, der ein erstes Halbleiter-Die des in 1 dargestellten Halbleiterpakets einschließt.
    • 3 eine vergrößerte Ansicht eines Abschnitts, der einen Stapel von zweiten Halbleiter-Dies des in 1 dargestellten Halbleiterpakets einschließt.
    • 4 eine Querschnittsansicht, die ein Halbleiterpaket gemäß einer anderen Ausführungsform darstellt.
    • 5 eine Querschnittsansicht, die ein Halbleiterpaket gemäß einer weiteren Ausführungsform darstellt.
    • 6 ein Blockdiagramm, das ein elektronisches System veranschaulicht, welches eine Speicherkarte mit einem Halbleiterpaket gemäß einer Ausführungsform verwendet.
    • 7 ein Blockdiagramm, das ein anderes elektronisches System mit einem Halbleiterpaket gemäß einer Ausführungsform darstellt.
  • DETAILLIERTE BESCHREIBUNG DER AUSFÜHRUNGSFORMEN
  • Die hierin verwendeten Begriffe können Wörtern entsprechen, die unter Berücksichtigung ihrer Funktionen in den Ausführungsformen ausgewählt wurden, und die Bedeutungen der Begriffe können so ausgelegt werden, dass sie sich je nach gewöhnlichen Fähigkeiten in dem Fachgebiet, zu dem die Ausführungsformen gehören, unterscheiden. Wenn die Begriffe im Detail definiert sind, können sie gemäß den Definitionen ausgelegt werden. Sofern nicht anders definiert, haben die hierin verwendeten Begriffe (einschließlich technischer und wissenschaftlicher Begriffe) die gleiche Bedeutung, wie sie allgemein von demjenigen mit gewöhnlichen Fähigkeiten in dem Fachgebiet, zu der die Ausführungsformen gehören, verstanden werden.
  • Die hierin offenbarte spezifische strukturelle oder funktionale Beschreibung dient lediglich der Beschreibung von Ausführungsformen gemäß dem Konzept der vorliegenden Offenbarung. Die Ausführungsformen gemäß dem Konzept der vorliegenden Offenbarung können in verschiedenen Formen umgesetzt werden und können nicht als auf die hier dargelegten Ausführungsformen beschränkt ausgelegt werden.
  • Die Ausführungsformen gemäß dem Konzept der vorliegenden Offenbarung können auf verschiedene Weise modifiziert werden und haben unterschiedliche Formen. Die Ausführungsformen sind daher in den Figuren dargestellt und sollen hierin detailliert beschrieben werden. Die Ausführungsformen gemäß dem Konzept der vorliegenden Offenbarung werden jedoch nicht als auf bestimmte Offenlegungen beschränkt ausgelegt und umfassen alle Änderungen, Entsprechungen oder Alternativen, die nicht vom Geist und technischen Anwendungsbereich der vorliegenden Offenbarung abweichen.
  • Es versteht sich, dass, obwohl die Begriffe „erste“, „zweite“, „dritte“ usw. hierin zur Beschreibung verschiedener Elemente verwendet werden können, diese Elemente nicht durch diese Begriffe eingeschränkt werden sollten. Diese Begriffe werden nur zur Unterscheidung eines Elements von einem anderen Element verwendet, nicht aber zur Definition des Elements selbst oder zur Bedeutung einer bestimmten Reihenfolge.
  • Es versteht sich auch, dass, wenn ein Element oder eine Schicht als „auf“, „über“, „unter“, „darunter“ oder „außerhalb“ eines anderen Elements oder einer anderen Schicht bezeichnet wird, das Element oder die Schicht in direktem Kontakt mit dem anderen Element oder der anderen Schicht stehen kann oder dazwischenliegende Elemente oder Schichten vorhanden sein können. Andere Wörter, die zur Beschreibung der Beziehung zwischen Elementen oder Schichten verwendet werden, sollten in ähnlicher Weise interpretiert werden (z.B. „zwischen“ versus „direkt zwischen“ oder „angrenzend“ versus „direkt angrenzend “).
  • Es versteht sich, dass, wenn ein Element als „verbunden“ oder „gekoppelt“ mit einem anderen Element bezeichnet wird, es direkt mit dem anderen Element verbunden oder gekoppelt sein kann oder auch dazwischenliegende Elemente vorhanden sein können. Im Gegensatz dazu, wenn ein Element als „direkt verbunden“ oder „direkt gekoppelt“ mit einem anderen Element bezeichnet wird, sind keine dazwischenliegenden Elemente vorhanden.
  • Die in der vorliegenden Anwendung verwendeten Begriffe dienen lediglich der Beschreibung bestimmter Ausführungsformen und sollen die vorliegende Offenbarung nicht einschränken. Singular-Formen in der vorliegenden Offenbarung sollen auch die Pluralformen umfassen, es sei denn, der Kontext zeigt deutlich etwas anderes. Es wird weiter verstanden, dass Begriffe wie „einschließen“ oder „aufweisen“ usw. dazu bestimmt sind, das Vorhandensein der in der Spezifikation offenbarten Merkmale, Zahlen, Operationen, Aktionen, Komponenten, Teile oder Kombinationen davon anzuzeigen, und nicht ausschließen sollen, dass ein oder mehrere andere Merkmale, Zahlen, Operationen, Aktionen, Komponenten, Teile oder Kombinationen davon existieren oder hinzugefügt werden können.
  • Räumlich relative Begriffe wie „unterhalb“, „darunter“, „unter“, „darüber“, „obere“, „höchste“, „untere“ und dergleichen können verwendet werden, um ein Element und / oder die Beziehung eines Merkmals zu (einem) anderen Element(en) und / oder Merkmal(en) zu beschreiben, wie sie beispielsweise in den Figuren dargestellt ist. Es versteht sich, dass die räumlich relativen Begriffe neben der in den Abbildungen dargestellten Orientierung auch verschiedene Ausrichtungen der verwendeten und / oder betriebenen Vorrichtung umfassen sollen. Wenn beispielsweise die Vorrichtung in den Figuren umgedreht wird, währen die Elemente, die als unter und / oder neben anderen Elementen oder Merkmalen beschrieben werden, dann über den anderen Elementen oder Merkmalen ausgerichtet. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hierin verwendeten räumlich relativen Deskriptoren könnten entsprechend interpretiert werden. Der Begriff „flexible Bridge-Die“ oder „flexibles Stapelpaket“ bezeichnet eine Bridge-Die oder ein Stapelpaket, das sich ohne Risse verformt oder biegt, wenn eine äußere Kraft (oder eine äußere Spannung) auf die Bridge-Die oder das Stapelpaket ausgeübt wird.
  • Das Stapelpaket kann einem Halbleiterpaket entsprechen. Das Halbleiterpaket kann elektronische Vorrichtungen wie Halbleiterchips oder Halbleiter-Dies beinhalten. Die Halbleiterchips oder die Halbleiter-Dies können durch Trennen eines Halbleitersubstrats, wie beispielsweise eines Wafers, in eine Vielzahl von Teilen unter Verwendung eines Die-Sägeverfahrens erhalten werden. Die Halbleiterchips können Speicherchips, Logik-Chips (einschließlich anwendungsspezifischer integrierter Schaltungen (ASIC-Chips)) oder System-on-Chips (SoC) entsprechen. Die Speicherchips können dynamische DRAM-Schaltungen (Dynamic Random Access Memory), statische SRAM-Schaltungen (Static Random Access Memory), NAND-Schaltungen (NAND Type Flash Memory), NOR-Schaltungen (NOR Type Flash Memory), magnetische MRAM-Schaltungen (Magnetic Random Access Memory), resistive ReRAM-Schaltungen (Resistive Random Access Memory), ferroelektrische FeRAM-Schaltungen (Ferroelectric Random Access Memory) oder auf dem Halbleitersubstrat integrierte PcRAM-Schaltungen (Phase Change Random Access Memory) einschließen. Die Logik-Chips können Logikschaltungen einschließen, die auf dem Halbleitersubstrat integriert sind. Das Halbleiterpaket kann in Kommunikationssystemen wie Mobiltelefonen, elektronischen Systemen im Zusammenhang mit Biotechnologie oder Gesundheitswesen oder tragbaren elektronischen Systemen eingesetzt werden.
  • Gleiche Referenznummern beziehen sich auf dieselben Elemente in der gesamten Spezifikation. Auch wenn eine Referenznummer nicht mit Bezug auf eine Figur erwähnt oder beschrieben wird, kann die Referenznummer mit Bezug auf eine andere Figur erwähnt oder beschrieben werden. Auch wenn eine Referenznummer in einer Figur nicht dargestellt ist, kann sie mit Bezug auf eine andere Figur erwähnt oder beschrieben werden.
  • 1 ist eine Querschnittsansicht, die ein Halbleiterpaket 10 gemäß einer Ausführungsform darstellt.
  • Unter Bezugnahme auf 1 kann das Halbleiterpaket 10 konfiguriert sein, um ein Paketsubstrat 100, ein erstes Halbleiter-Die 200, einen Stapel 300 von zweiten Halbleiter-Dies 301 und eine Die-Anordnung 400 aufzunehmen. Die Die-Anordnung 400 kann konfiguriert sein, um ein erstes Bridge-Die 500, ein zweites Bridge-Die 600, ein drittes Halbleiter-Die 700 und eine Formschicht 800 aufzunehmen.
  • Das erste Halbleiter-Die 200 kann auf einer ersten Oberfläche 101 des Paketsubstrats 100 angeordnet sein. Der Stapel 300 kann auf der ersten Oberfläche 101 des Paketsubstrats 100 angeordnet werden. Der Stapel 300 kann seitlich von dem ersten Halbleiter-Die 200 beabstandet sein. Die Die-Anordnung 400 kann zwischen dem Paketsubstrat 100 und dem Stapel 300 angeordnet sein. Die Die-Anordnung 400 kann auch zwischen dem Paketsubstrat 100 und dem ersten Halbleiter-Die 200 angeordnet sein. Das heißt, die Die-Anordnung 400 kann auf der ersten Oberfläche 101 des Paketsubstrats 100 angeordnet sein, und das erste Halbleiter-Die 200 und der Stapel 300 können Seite an Seite auf einer Oberfläche der Die-Anordnung 400 angeordnet sein, auf der gegenüberliegenden Seite der Die-Anordnung 400 von der Seite, die mit dem Paketsubstrat 100 in Kontakt steht.
    Figure DE102019129155A1_0001
    Figure DE102019129155A1_0002
  • Das Paketsubstrat 100 kann als Verbindungselement zum elektrischen Verbinden des Halbleiterpakets 10 mit einer externen Vorrichtung oder einem anderen Halbleitermodul dienen. In einer Ausführungsform kann das Paketsubstrat 100 eine Leiterplatte (PCB) sein. Das Paketsubstrat 100 kann eine zweite Oberfläche 102 aufweisen, die sich auf einer gegenüberliegenden Seite der Die-Anordnung 400 befindet. Äußere Verbinder 190 können auf der zweiten Oberfläche 102 des Paketsubstrats 100 angeordnet sein, um das Halbleiterpaket 10 elektrisch mit einer externen Vorrichtung oder einem anderen Halbleitermodul zu verbinden. Die äußeren Anschlüsse 190 können Lötkugeln sein.
  • 2 ist eine vergrößerte Querschnittsansicht, die einen Abschnitt veranschaulicht, der das erste Halbleiter-Die 200 des Halbleiterpakets 10 einschließt, wie in 1 dargestellt.
  • Unter Bezugnahme auf die 1 und 2 kann sich das erste Bridge-Die 500 der Die-Anordnung 400 zwischen dem Paketsubstrat 100 und dem ersten Halbleiter-Die 200 befinden. Das erste Bridge-Die 500 kann sich mit dem ersten Halbleiter-Die 200 überlappen. Das erste Bridge-Die 500 kann als Verbindungselement zum elektrischen Verbinden des ersten Halbleiter-Dies 200 mit dem Paketsubstrat 100 dienen. Das erste Bridge-Die 500 kann auch als Träger dienen und einen Teil des ersten Halbleiter-Dies 200 tragen.
  • Das erste Bridge-Die 500 kann konfiguriert sein, um einen ersten Bridge-Die-Körper 510 und eine erste Durchkontaktierung 520 einzuschließen. Die erste Durchkontaktierung 520 kann aus einem leitfähigen Material gebildet sein, das den ersten Bridge-Die-Körper 510 vertikal durchdringt.
  • Der erste Bridge-Die-Körper 510 kann ein Halbleitermaterial, wie beispielsweise ein Siliziummaterial, umfassen. Wenn der erste Bridge-Die-Körper 510 ein Siliziummaterial umfasst, kann die erste Durchkontaktierung 520 unter Verwendung einer Silizium-Durchkontaktierung (TSV)-Technik gebildet werden. Die erste Durchkontaktierung 520 kann mit einer Silizium-Verarbeitungstechnik gebildet werden. Somit kann die erste Durchkontaktierung 520 gebildet werden, um als leitfähige Durchkontaktierung mit einer kleinen Größe (z.B. einem kleinen Durchmesser) ausgebildet zu sein. So kann beispielsweise die erste Durchkontaktierung 520 mit einem Durchmesser von etwa 0,5 Mikrometern gebildet werden.
  • Der erste Bridge-Die-Körper 510 kann eine Breite W2 aufweisen, die kleiner ist als die Breite W1 des ersten Halbleiter-Dies 200. Wenn es jedoch notwendig ist, die Anzahl der ersten Durchkontaktierungen 520 zu erhöhen, um das erste Halbleiter-Die 200 elektrisch mit dem Paketsubstrat 100 zu verbinden, kann es möglich sein, die Anzahl der ersten Durchkontaktierungen 520 zu erhöhen, indem die erste Durchkontaktierung 520 mit der Silizium-Durchkontaktierung (TSV)-Technik gebildet wird. Folglich kann das erste Bridge-Die 500 ausreichende Mengen der ersten Durchkontaktierung 520 bereitstellen, die als vertikale elektrische Pfade zum elektrischen Verbinden des ersten Halbleiter-Dies 200 mit dem Paketsubstrat 100 dient.
  • Die erste Durchkontaktierung 520 kann aus einem leitfähigen Metallmaterial, beispielsweise einem Metallmaterial einschließlich Kupfer, gebildet sein.
  • Die erste Durchkontaktierung 520 kann über dritte innere Verbinder 930 elektrisch mit dem ersten Halbleiter-Die 200 verbunden sein. Die erste Durchkontaktierung 520 kann mit dem Paketsubstrat 100 durch vierte innere Verbinder 940 elektrisch verbunden sein. Eine Verbindungsstruktur, die die dritten inneren Verbinder 930, die ersten Durchkontaktierungen 520 und die vierten inneren Verbinder 940 einschließt, kann die ersten elektrischen Pfade P1 bereitstellen, die den vertikalen Pfaden entsprechen, die den ersten Halbleiter-Die 200 elektrisch und direkt mit dem Paketsubstrat 100 verbinden. Die dritten inneren Verbinder 930 und die vierten inneren Verbinder 940 können Bumps sein.
  • 3 ist eine vergrößerte Querschnittsansicht, die einen Abschnitt mit dem Stapel 300 des Halbleiterpakets 10 veranschaulicht, wie in 1 dargestellt.
  • Unter Bezugnahme auf 3 kann sich das zweite Bridge-Die 600 der Die-Anordnung 400 zwischen dem Paketsubstrat 100 und dem Stapel 300 befinden. Das zweite Bridge-Die 600 kann sich mit dem Stapel 300 überlappen. Das zweite Bridge-Die 600 kann als Verbindungselement zum elektrischen Verbinden des Stapels 300 mit dem Paketsubstrat 100 dienen. Das zweite Bridge-Die 600 kann auch als Träger dienen und einen Teil des Stapels 300 tragen.
  • Das zweite Bridge-Die 600 kann konfiguriert sein, um einen zweiten Bridge-Die-Körper 610, zweite Durchkontaktierungen 630 und dritte Durchkontaktierungen 670 einzuschließen. Die zweite Durchkontaktierung 630 kann aus einem leitfähigen Material gebildet sein, das vertikal in den zweiten Bridge-Die-Körper 610 eindringt.
  • Der zweite Bridge-Die-Körper 610 kann ein Halbleitermaterial, wie beispielsweise ein Siliziummaterial, umfassen. Wenn der zweite Bridge-Die-Körper 610 ein Siliziummaterial umfasst, kann die zweite Durchkontaktierung 630 unter Verwendung einer Silizium-Durchkontaktierung (TSV)-Technik gebildet werden. Somit kann die zweite Durchkontaktierung 630 als leitfähige Durchkontaktierung mit einer kleinen Größe (z.B. einem kleinen Durchmesser) ausgebildet werden.
  • Der zweite Bridge-Die-Körper 610 kann eine Breite W4 aufweisen, die kleiner ist als eine Breite W3 des Stapels 300. Wenn es jedoch notwendig ist, die Anzahl der zweiten Durchkontaktierungen 630 zu erhöhen, um die zweiten Halbleiter-Dies 301 elektrisch mit dem Paketsubstrat 100 zu verbinden, kann es möglich sein, die Anzahl der zweiten Durchkontaktierungen 630 zu erhöhen, indem man die zweiten Durchkontaktierungen 630 unter Verwendung der Silizium-Durchkontaktierung (TSV)-Technik bildet. Dementsprechend kann das zweite Bridge-Die 600 ausreichende Mengen der zweiten Durchkontaktierungen 630 bereitstellen, die als vertikale elektrische Pfade zum elektrischen Verbinden des Stapels 300 mit dem Paketsubstrat 100 dienen.
  • Die zweite Durchkontaktierung 630 kann durch fünfte innere Verbinder 950 mit dem Stapel 300 elektrisch verbunden sein. Die zweite Durchkontaktierung 630 kann mit dem Paketsubstrat 100 durch sechste innere Verbinder 960 elektrisch verbunden werden. Eine Verbindungsstruktur, die die fünften inneren Verbinder 950, die zweiten Durchkontaktierungen 630 und die sechsten inneren Verbinder 960 einschließt, kann die zweiten elektrischen Pfade P2 bereitstellen, entsprechend der vertikalen Pfade, die den Stapel 300 elektrisch und direkt mit dem Paketsubstrat 100 verbinden. Die dritten inneren Verbinder 930 und die vierten inneren Verbinder 940 können Bumps sein.
  • Unter erneuter Bezugnahme auf 1 kann das dritte Halbleiter-Die 700 zwischen dem ersten Bridge-Die 500 und dem zweiten Bridge-Die 600 angeordnet sein. Ein Abschnitt des dritten Halbleiter-Die 700 kann sich mit einem Abschnitt des Stapels 300 überlappen, und ein anderer Abschnitt des dritten Halbleiter-Die 700 kann sich mit einem Abschnitt des ersten Halbleiter-Die 200 überlappen. Das heißt, das dritte Halbleiter-Die 700 kann einen Abschnitt 701, der mit dem ersten Halbleiter-Die 200 überlappt, und einen Abschnitt 702, der mit dem Stapel 300 überlappt, einschließen. Das dritte Halbleiter-Die 700 kann so angeordnet werden, dass der Abschnitt 701 zwischen dem ersten Halbleiter-Die 200 und dem Paketsubstrat 100 angeordnet ist, und der Abschnitt 702 zwischen dem Stapel 300 und dem Paketsubstrat 100 angeordnet ist.
  • Das dritte Halbleiter-Die 700 kann eine erste Oberfläche 703 und eine zweite Oberfläche 704 aufweisen, die einander gegenüberliegen. Das dritte Halbleiter-Die 700 kann so angeordnet sein, dass die erste Oberfläche 703 gegenüber dem ersten Halbleiter-Die 200 und dem Stapel 300 angeordnet ist, und die zweite Oberfläche 704 gegenüber dem Paketsubstrat 100 angeordnet ist. Leitfähige Anschlusspads 710 können auf der ersten Oberfläche 703 des dritten Halbleiter-Dies 700 angeordnet sein.
  • Das dritte Halbleiter-Die 700 kann auch einen zweiten Grenzflächenbereich 720 einschließen, der im Abschnitt 701 angeordnet ist, und der zweite Grenzflächenbereich 720 kann eine physikalische Schicht PHY einschließen. Das dritte Halbleiter-Die 700 kann ferner einen Schaltungsbereich 730 einschließen, in dem integrierte Schaltungen zum Steuern der zweiten Halbleiter-Dies 301 ausgebildet sind. Die zweiten Halbleiter-Dies 301 können den Slave-Dies entsprechen, die von dem dritten Halbleiter-Die 700 gesteuert werden, und das dritte Halbleiter-Die 700 kann einem Master-Die entsprechen.
  • Der Stapel 300 kann durch vertikales Stapeln der zweiten Halbleiter-Dies 301 gebildet werden. Die zweiten Halbleiter-Dies 301 können Speicher-Halbleiter-Dies sein, wie beispielsweise Dynamic Random Access Memory (DRAM) -Vorrichtungen. Die zweiten Halbleiter-Dies 301 können durch siebte innere Verbinder 970 elektrisch miteinander verbunden werden. Jedes der zweiten Halbleiter-Dies 301 kann vierte Durchkontaktierungen 320 einschließen, die elektrisch mit einigen der siebten inneren Verbinder 970 verbunden sind. Die zweiten Halbleiter-Dies 301 können über die siebten inneren Verbinder 970 und die vierten Durchkontaktierungen 320 elektrisch miteinander verbunden sein. Die vierten Durchkontaktierungen 320 können mit der Silizium-Durchkontaktierung (TSV)-Technik gebildet werden.
  • Unter erneuter Bezugnahme auf 2 kann das dritte Halbleiter-Die 700 so angeordnet werden, dass der Abschnitt 701 mit dem ersten Halbleiter-Die 200 überlappt. Das erste Halbleiter-Die 200 kann einen ersten Grenzflächenbereich 220 einschließen, der sich mit dem dritten Halbleiter-Die 700 überlappt. Der erste Grenzflächenbereich 220 kann integrierte Schaltungen zur Kommunikation mit dem dritten Halbleiter-Die 700 einschließen. Das heißt, eine physikalische Schicht PHY zur Kommunikation mit dem dritten Halbleiter-Die 700 kann im ersten Grenzflächenbereich 220 angeordnet sein. Das erste Halbleiter-Die 200 kann so angeordnet sein, dass sich der erste Grenzflächenbereich 220 mit dem zweiten Grenzflächenbereich 720 überlappt.
  • Das erste Halbleiter-Die 200 kann über die ersten inneren Verbinder 910 elektrisch mit der dritten Halbleiter-Die 700 verbunden werden. Die ersten inneren Verbinder 910 können auf dem Abschnitt 701 des dritten Halbleiter-Dies 700 angeordnet sein, der sich mit dem ersten Halbleiter-Die 200 überlappt. Die ersten inneren Verbinder 910 können sich mit dem ersten Grenzflächenbereich 220 und dem zweiten Grenzflächenbereich 720 überlappen. Die ersten inneren Verbinder 910 können die dritten elektrischen Pfade P3 bereitstellen, die das erste Halbleiter-Die 200 mit dem dritten Halbleiter-Die 700 elektrisch verbinden.
  • Da sich die ersten inneren Verbinder 910 zwischen dem ersten Halbleiter-Die 200 und dem dritten Halbleiter-Die 700 befinden, können die vertikalen Längen der dritten elektrischen Pfade P3 deutlich reduziert werden. Aufgrund der Verringerung des Abstands des elektrischen Pfades kann somit eine Datenübertragungsgeschwindigkeit zwischen dem ersten Grenzflächenbereich 220 und dem zweiten Grenzflächenbereich 720 verbessert werden, um die Leistung des Halbleiterpakets 10 zu verbessern.
  • Unter erneuter Bezugnahme auf 3 kann das dritte Halbleiter-Die 700 so angeordnet sein, dass der Abschnitt 702 mit dem Stapel 300 überlappt. Der Stapel 300 kann über zweite innere Verbinder 920 elektrisch mit dem dritten Halbleiter-Die 700 verbunden sein. Die zweiten inneren Verbinder 920 können sich auf dem Abschnitt 702 des dritten Halbleiter-Dies 700 befinden, der mit dem Stapel 300 überlappt. Die zweiten inneren Verbinder 920 können die vierten elektrischen Pfade P4 bereitstellen, die das dritte Halbleiter-Die 700 elektrisch mit dem Stapel 300 verbinden.
  • Da sich die zweiten inneren Verbinder 920 zwischen dem dritten Halbleiter-Die 700 und dem Stapel 300 befinden, können die vertikalen Längen der vierten elektrischen Pfade P4 deutlich reduziert werden. Aufgrund der Verringerung des Abstands des elektrischen Pfades kann somit eine Datenübertragungsgeschwindigkeit zwischen dem dritten Halbleiter-Die 700 und dem Stapel 300 verbessert werden, um die Leistung des Halbleiterpakets 10 zu verbessern.
  • Unter erneuter Bezugnahme auf 1 kann die Formschicht 800 die ersten und zweiten Bridge-Dies 500 und 600 und das dritte Halbleiter-Die 700 darin einbetten. Die Formschicht 800 kann die ersten und zweiten Bridge-Dies 500 und 600 und das dritte Halbleiter-Die 700 umgeben und halten und so als Bestandteil der Die-Anordnung 400 wirken. Die Formschicht 800 kann sich erstrecken, um einen Raum D1 zwischen dem ersten Bridge-Die 500 und dem dritten Halbleiter-Die 700 zu füllen. Die Formschicht 800 kann sich auch erstrecken, um einen Raum D2 zwischen dem zweiten Bridge-Die 600 und dem dritten Halbleiter-Die 700 zu füllen. Die Formschicht 800 kann als Basisschicht fungieren, die die ersten und zweiten Bridge-Dies 500 und 600 und das dritte Halbleiter-Die 700 verbindet und hält. Die Formschicht 800 kann aus einem der verschiedenen Vergussmassen gebildet werden. So kann beispielsweise die Formschicht 800 aus einem Epoxid-Formmasse (EMV) -Material gebildet werden. Die Formschicht 800 kann sich erstrecken, um die zweite Oberfläche 704 des dritten Halbleiter-Die 700 abzudecken, während die erste Oberfläche 703 der dritten Halbleiter-Die 700 freigelegt wird.
  • Unter Bezugnahme auf 3 kann die Die-Anordnung 400 ferner Umverteilungsleitungen 850 beinhalten, die das zweite Bridge-Die 600 mit dem dritten Halbleiter-Die 700 elektrisch verbinden. Die Umverteilungsleitungen 850 können Leitungsmusteranordnungen mit ersten Enden sein, die sich auf dem dritten Halbleiter-Die 700 befinden, und zweiten Enden, die sich auf dem zweiten Bridge-Die 600 befinden. Daher kann sich jede der Umverteilungsleitungen 850 von einem Abschnitt des dritten Halbleiter-Die 700 bis zu einem Abschnitt des zweiten Bridge-Die 600 erstrecken. Die Umverteilungsleitungen 850 können auf einem Abschnitt 801 der Formschicht 800 angeordnet sein, der den Raum D2 zwischen dem zweiten Bridge-Die 600 und dem dritten Halbleiter-Die 700 ausfüllt.
  • Die Umverteilungsleitungen 850 können sich so erstrecken, dass die ersten Enden der Umverteilungsleitungen 850 mit den Anschlusspads 710 des dritten Halbleiter-Dies 700 und die zweiten Enden der Umverteilungsleitungen 850 mit dem dritten Durchkontaktierungen 670 des zweiten Bridge-Dies 600 verbunden sind. Die dritte Durchkontaktierung 670 des zweiten Bridge-Dies 600 kann elektrisch mit den Umverteilungsleitungen 850 gekoppelt sein, um das dritte Halbleiter-Die 700 elektrisch mit dem Paketsubstrat 100 zu verbinden. Die dritte Durchkontaktierung 670 kann über die achten inneren Verbinder 980 elektrisch mit dem Paketsubstrat 100 verbunden werden. Die dritte Durchkontaktierung 670 kann so ausgebildet sein, dass sie im Wesentlichen die gleiche Form wie die zweite Durchkontaktierung 630 aufweist. Die achten inneren Verbinder 980 können Bumps sein, die im Wesentlichen die gleiche Form wie die sechsten inneren Verbinder 960 aufweisen.
  • Die Umverteilungsleitungen 850, die dritten Durchkontaktierungen 670 und die achten inneren Verbinder 980 können die fünften elektrischen Pfade P5 bereitstellen, die das dritte Halbleiter-Die 700 elektrisch mit dem Paketsubstrat 100 verbinden. Aufgrund der Konfiguration der fünften elektrischen Pfade P5 kann das dritte Halbleiter-Die 700 ohne zusätzliche Verbindungselemente elektrisch mit dem Paketsubstrat 100 verbunden sein. So kann es beispielsweise sein, dass die Ausführungsform keine Silizium-Durchkontaktierungen (TSV) erfordert, die in einen Körper des dritten Halbleiter-Die 700 eindringen.
  • Eine erste dielektrische Schicht 861 und eine zweite dielektrische Schicht 862 können nacheinander auf einer Oberfläche 401 der Die-Anordnung 400 gebildet werden. Die erste dielektrische Schicht 861 und die zweite dielektrische Schicht 862 können gebildet werden, um die Umverteilungsleitungen 850 zu schützen und die Umverteilungsleitungen 850 elektrisch voneinander zu isolieren.
  • Die Die-Anordnung 400 kann das erste Halbleiter-Die 200 und den Stapel 300 tragen und das erste Halbleiter-Die 200 und den Stapel 300 elektrisch mit dem Paketsubstrat 100 verbinden. Die Die-Anordnung 400 kann durch Bilden der Formschicht 800 zum Verbinden und Fixieren der ersten und zweiten Bridge-Dies 500 und 600 und des dritten Halbleiter-Dies 700 bereitgestellt werden. Infolgedessen kann das Halbleiterpaket 10 unter Verwendung der Die-Anordnung 400 bereitgestellt werden, ohne dass eine Verbindungsstruktur wie beispielsweise ein Silizium-Interposer verwendet wird.
  • 4 ist eine Querschnittsansicht, die ein Halbleiterpaket 20 gemäß einer weiteren Ausführungsform darstellt.
  • Unter Bezugnahme auf 4 kann das Halbleiterpaket 20 konfiguriert sein, um ein Paketsubstrat 2100, ein erstes Halbleiter-Die 2200, einen Stapel 2300 von zweiten Halbleiter-Dies 2301 und eine Die-Anordnung 2400 einzuschließen. Die Die-Anordnung 2400 kann konfiguriert sein, um ein erstes Bridge-Die 2500, ein zweites Bridge-Die 2600, ein Träger-Die 2700 und eine Formschicht 2800 einzuschließen.
  • Das erste Halbleiter-Die 2200 kann auf einer ersten Oberfläche 2101 des Paketsubstrats 2100 angeordnet sein. Der Stapel 2300 der zweiten Halbleiter-Dies 2301 kann auf der ersten Oberfläche 2101 des Paketsubstrats 2100 angeordnet sein. Der Stapel 2300 kann seitlich vom ersten Halbleiter-Die 2200 beabstandet sein. Die Die-Anordnung 2400 kann zwischen dem Paketsubstrat 2100 und dem Stapel 2300 angeordnet sein. Die Die-Anordnung 2400 kann sich auch zwischen dem Paketsubstrat 2100 und dem ersten Halbleiter-Die 2200 befinden. Das heißt, die Die-Anordnung 2400 kann auf der ersten Oberfläche 2101 des Paketsubstrats 2100 angeordnet sein, und das erste Halbleiter-Die 2200 und der Stapel 2300 können Seite an Seite auf einer Oberfläche der Die-Anordnung 2400 angeordnet sein, wobei die Oberfläche gegenüber derjenigen eingerichtet ist, die mit dem Paketsubstrat 2100 in Kontakt steht.
  • Äußere Verbinder 2190 können auf einer zweiten Oberfläche 2102 des Paketsubstrats 2100 angeordnet sein, wobei die Oberfläche derjenigen gegenüberliegt, die mit der Die-Anordnung 2400 in Kontakt steht, um das Halbleiterpaket 20 elektrisch mit einer externen Vorrichtung oder einem anderen Halbleitermodul zu verbinden.
  • Das erste Bridge-Die 2500 der Die-Anordnung 2400 kann zwischen dem Paketsubstrat 2100 und dem ersten Halbleiter-Die 2200 angeordnet sein. Das erste Bridge-Die 2500 kann sich mit dem ersten Halbleiter-Die 2200 überlappen. Das erste Bridge-Die 2500 kann konfiguriert sein, um einen ersten Bridge-Die-Körper 2510 und eine erste Durchkontaktierung 2520 einzuschließen. Die erste Durchkontaktierung 2520 kann aus einem leitfähigen Material gebildet sein, das den ersten Bridge-Die-Körper 2510 vertikal durchdringt. Der erste Bridge-Die-Körper 2510 kann ein Halbleitermaterial, wie beispielsweise ein Siliziummaterial, umfassen. Wenn der erste Bridge-Die-Körper 2510 ein Siliziummaterial umfasst, kann die erste Durchkontaktierung 2520 unter Verwendung eines Durchgangssiliziums mittels Silizium-Durchkontaktierung (TSV)-Technik gebildet werden.
  • Die erste Durchkontaktierung 2520 kann über dritte innere Verbinder 2930 elektrisch mit dem ersten Halbleiter-Die 2200 verbunden werden. Die erste Durchkontaktierung 2520 kann über vierte innere Verbinder 2940 elektrisch mit dem Paketsubstrat 2100 verbunden werden. Eine Verbindungsstruktur, die die dritten inneren Verbinder 2930, die ersten Durchkontaktierungen 2520 und die vierten inneren Verbinder 2940 einschließt, kann die ersten elektrischen Pfade P21 bereitstellen, welche den vertikalen Pfaden entsprechen, die das erste Halbleiter-Die 2200 elektrisch und direkt mit dem Paketsubstrat 2100 verbinden.
  • Das zweite Bridge-Die 2600 der Die-Anordnung 2400 kann zwischen dem Paketsubstrat 2100 und dem Stapel 2300 angeordnet sein. Das zweite Bridge-Die 2600 kann sich mit dem Stapel 2300 überlappen. Das zweite Bridge-Die 2600 kann konfiguriert sein, um einen zweiten Bridge-Die-Körper 2610 und eine zweite Durchkontaktierung 2630 einzuschließen. Die zweite Durchkontaktierung 2630 kann aus einem leitfähigen Material gebildet sein, das den zweiten Bridge-Die-Körper 2610 vertikal durchdringt. Der zweite Bridge-Die-Körper 2610 kann ein Halbleitermaterial, wie beispielsweise ein Siliziummaterial, umfassen. Wenn der zweite Bridge-Die-Körper 2610 ein Siliziummaterial umfasst, kann die zweite Durchkontaktierung 2630 unter Verwendung einer Silizium-Durchkontaktierung (TSV)-Technik gebildet werden.
  • Die zweite Durchkontaktierung 2630 kann über fünfte innere Verbinder 2950 elektrisch mit dem Stapel 2300 verbunden sein. Die zweite Durchkontaktierung 2630 kann über die sechsten inneren Verbinder 2960 elektrisch mit dem Paketsubstrat 2100 verbunden sein. Eine Verbindungsstruktur, die die fünften inneren Verbinder 2950, die zweiten Durchkontaktierungen 2630 und die sechsten inneren Verbinder 2960 einschließt, kann die zweiten elektrischen Pfade P22 entsprechend den vertikalen Pfaden bereitstellen, die den Stapel 2300 elektrisch und direkt mit dem Paketsubstrat 2100 verbinden.
  • Der Stapel 2300 kann durch vertikales Stapeln der zweiten Halbleiter-Dies 2301 gebildet werden. Die zweiten Halbleiter-Dies 2301 können Speicherhalbleiterdioden sein, wie beispielsweise Dynamic Random Access Memory (DRAM) -Vorrichtungen. Die zweiten Halbleiter-Dies 2301 können über den siebten inneren Verbinder 2970 elektrisch miteinander verbunden sein. Jeder der zweiten Halbleiter-Dies 2301 kann eine dritte Durchkontaktierung 2320 einschließen, die elektrisch mit einigen der siebten inneren Verbinder 2970 verbunden sind. Die zweiten Halbleiter-Dies 2301 können über die siebten inneren Verbinder 2970 und die dritten die Durchkontaktierungen 2320 elektrisch miteinander verbunden sein. Die dritte Durchkontaktierungen 2320 können mit der Silizium-Durchkontaktierung (TSV)-Technik gebildet werden.
  • Das Träger-Die 2700 der Die-Anordnung 2400 kann zwischen den ersten und zweiten Bridge-Dies 2500 und 2600 angeordnet sein. Das Träger-Die 2700 kann sich mit dem ersten Halbleiter-Die 2200 und dem Stapel 2300 überlappen. Ein Abschnitt 2701 des Träger-Dies 2700 kann sich mit dem ersten Halbleiter-Die 2200 überlappen, und ein weiterer Abschnitt 2702 des Träger-Dies 2700 kann sich mit dem Stapel 2300 überlappen. Das Träger-Die 2700 kann so angeordnet sein, dass sich der Abschnitt 2701 zwischen dem ersten Halbleiter-Die 2200 und dem Paketsubstrat 2100 befindet und der Abschnitt 2702 zwischen dem Stapel 2300 und dem Paketsubstrat 2100 befindet.
  • Das Träger-Die 2700 kann eine erste Oberfläche 2703 und eine zweite Oberfläche 2704 aufweisen, die einander gegenüberliegen. Das Träger-Die 2700 kann so angeordnet sein, dass die erste Oberfläche 2703 gegenüber dem ersten Halbleiter-Die 2200 und dem Stapel 2300 angeordnet ist, und die zweite Oberfläche 2704 gegenüber dem Paketsubstrat 2100 angeordnet ist. Die Leitungsmuster 2710 können auf der ersten Oberfläche 2703 des Träger-Dies 2700 angeordnet sein. Die Leitungsmuster 2710 können Verbindungsleitungen sein. Die Leitungsmuster 2710 können Metallmuster sein, z.B. Kupfermuster.
  • Das Träger-Die 2700 kann die Leitungsmuster 2710 tragen. Das Träger-Die 2700 kann aus einem Siliziummaterial bestehen. Das Träger-Die 2700 kann ein Dummy-Die sein, in dem keine Integrationsschaltung vorhanden ist. Da das Träger-Die 2700 ein Siliziummaterial umfasst, können die Leitungsmuster 2710 mit einer Silizium-Verarbeitungstechnik gebildet werden. Dementsprechend können die Leitungsmuster 2710 so realisiert werden, dass sie eine feine Größe aufweisen.
  • Das Träger-Die 2700 kann so angeordnet sein, dass sich der Abschnitt 2701 mit dem ersten Halbleiter-Die 2200 überlappt. Das erste Halbleiter-Die 2200 kann über die ersten inneren Verbinder 2910 elektrisch mit den Leitungsmustern 2710 verbunden werden. Die ersten inneren Verbinder 2910 können auf dem Abschnitt 2701 des Träger-Dies 2700 angeordnet werden, der sich mit dem ersten Halbleiter-Die 2200 überlappt. Das Träger-Die 2700 kann so angeordnet sein, dass sich der Abschnitt 2702 mit dem Stapel 2300 überlappt. Der Stapel 2300 kann über zweite innere Verbinder 2920 elektrisch mit den Leitungsmustern 2710 verbunden sein. Die zweiten inneren Verbinder 2920 können auf dem Abschnitt 2702 des Träger-Dies 2700 angeordnet sein, der sich mit dem Stapel 2300 überlappt.
  • Die Leitungsmuster 2710 können so angeordnet sein, dass sie sich mit den ersten inneren Verbindern 2910 überlappen und sich bis zur Überlappung mit den zweiten inneren Verbindern 2920 erstrecken. Die Leitungsmuster 2710 können Verbindungsleitungen sein, die die ersten inneren Verbinder 2910 und die zweiten inneren Verbinder 2920 elektrisch verbinden. Die ersten inneren Verbinder 2910, die Leitungsmuster 2710 und die zweiten inneren Verbinder 2920 können die dritten elektrischen Pfade P23 bereitstellen, welche horizontalen Pfaden entsprechen, die den Stapel 2300 elektrisch mit dem ersten Halbleiter-Die 2200 verbinden.
  • Eine erste dielektrische Schicht 2761 kann auf der ersten Oberfläche 2703 des Träger-Dies 2700 angeordnet sein, um die Leitungsmuster 2710 von einem Körper 2709 des Träger-Dies 2700 elektrisch zu isolieren. Eine zweite dielektrische Schicht 2762 kann zusätzlich auf der ersten dielektrischen Schicht 2761 angeordnet sein, um die Leitungsmuster 2710 gegeneinander elektrisch zu isolieren.
  • Die Formschicht 2800 kann die ersten und zweiten Bridge-Dies 2500 und 2600 und das Träger-Die 2700 darin einbetten. Die Formschicht 2800 kann die ersten und zweiten Bridge-Dies 2500 und 2600 sowie das Träger-Die 2700 umgeben und fixieren und so als Bestandteil der Die-Einheit 2400 wirken.
  • Das Halbleiterpaket 20 kann durch den Einsatz der Die-Anordnung 2400 auch ohne Verwendung einer Verbindungsstruktur, wie beispielsweise eines Silizium-Interposers, bereitgestellt werden.
  • 5 ist eine Querschnittsansicht, die ein Halbleiterpaket 30 gemäß einer weiteren Ausführungsform darstellt.
  • Unter Bezugnahme auf 5 kann das Halbleiterpaket 30 konfiguriert sein, um ein Paketsubstrat 3100, ein erstes Halbleiter-Die 3200, ein zweites Halbleiter-Die 3300 und eine Die-Anordnung 3400 einzuschließen. Die Die-Anordnung 3400 kann konfiguriert sein, um ein erstes Bridge-Die 3500, ein zweites Bridge-Die 3600, ein drittes Halbleiter-Die 3700 und eine Formschicht 3800 einzuschließen.
  • Das erste Halbleiter-Die 3200 kann auf einer ersten Oberfläche 3101 des Paketsubstrats 3100 angeordnet sein. Das zweite Halbleiter-Die 3300 kann auf der ersten Oberfläche 3101 des Paketsubstrats 3100 angeordnet sein. Das zweite Halbleiter-Die 3300 kann seitlich vom ersten Halbleiter-Die 3200 beabstandet sein. Die Die-Anordnung 3400 kann zwischen dem Paketsubstrat 3100 und der zweiten Halbleiter-Die 3300 angeordnet sein. Die Die-Anordnung 3400 kann auch zwischen dem Paketsubstrat 3100 und dem ersten Halbleiter-Die 3200 angeordnet sein. Das heißt, die Die-Anordnung 3400 kann auf der ersten Oberfläche 3101 des Paketsubstrats 3100 angeordnet sein, und das erste Halbleiter-Die 3200 und das zweiten Halbleiter-Die 3300 können Seite an Seite auf einer Oberfläche der Die-Anordnung 3400 angeordnet sein, wobei die Oberfläche derjenigen gegenüberliegt, die mit dem Paketsubstrat 3100 in Kontakt steht. Die äußeren Verbinder 3190 können auf einer zweiten Oberfläche 3102 des Paketsubstrats 3100 angeordnet sein, wobei die Oberfläche derjenigen gegenüberliegt, die mit der Die-Anordnung 3400 in Kontakt steht.
  • Das erste Bridge-Die 3500 der Die-Anordnung 3400 kann sich mit dem ersten Halbleiter-Die 3200 überlappen. Das erste Bridge-Die 3500 kann als Verbindungselement zum elektrischen Verbinden des ersten Halbleiter-Die 3200 mit dem Paketsubstrat 3100 dienen. Das erste Bridge-Die 3500 kann auch als Träger dienen und einen Teil des ersten Halbleiter-Dies 3200 tragen.
  • Das erste Bridge-Die 3500 kann konfiguriert sein, um einen ersten Bridge-Die-Körper 3510 und erste Durchkontaktierungen 3520 einzuschließen. Die erste Durchkontaktierung 3520 kann aus einem leitfähigen Material gebildet sein, das den ersten Bridge-Die-Körper 3510 vertikal durchdringt. Die erste Durchkontaktierung 3520 kann über dritte innere Verbinder 3930 elektrisch mit dem ersten Halbleiter-Die 3200 verbunden sein. Die erste Durchkontaktierung 3520 kann über vierte innere Verbinder 3940 elektrisch mit dem Paketsubstrat 3100 verbunden sein.
  • Das zweite Bridge-Die 3600 der Die-Anordnung 3400 kann zwischen dem Paketsubstrat 3100 und dem zweiten Halbleiter-Die 3300 angeordnet sein. Das zweite Bridge-Die 3600 kann sich mit dem zweiten Halbleiter-Die 3300 überlappen. Das zweite Bridge-Die 3600 kann als Verbindungselement zum elektrischen Verbinden des zweiten Halbleiter-Dies 3300 mit dem Paketsubstrat 3100 dienen. Das zweite Bridge-Die 3600 kann auch als Träger fungieren und einen Teil des zweiten Halbleiter-Dies 3300 tragen.
  • Das zweite Bridge-Die 3600 kann konfiguriert sein, um einen zweiten Bridge-Die-Körper 3610 und eine zweite Durchkontaktierung 3630 einzuschließen. Die zweite Durchkontaktierung 3630 kann aus einem leitfähigen Material gebildet sein, das den zweiten Bridge-Die-Körper 3610 vertikal durchdringt. Die zweite Durchkontaktierung 3630 kann über fünfte innere Verbinder 3950 elektrisch mit dem zweiten Halbleiter-Die 3300 verbunden sein. Die zweite Durchkontaktierung 3630 kann über die sechsten inneren Verbinder 3960 elektrisch mit dem Paketsubstrat 3100 verbunden sein.
  • Das dritte Halbleiter-Die 3700 der Die-Anordnung 3400 kann zwischen dem ersten und zweiten Bridge-Die 3500 und 3600 angeordnet werden. Das dritte Halbleiter-Die 3700 kann sich mit dem ersten Halbleiter-Die 3200 und dem zweiten Halbleiter-Die 3300 überlappen. Ein Abschnitt 3701 dem dritten Halbleiter-Diem 3700 kann sich mit dem ersten Halbleiter-Die 3200 überschneiden, und ein weiterer Abschnitt 3702 des dritten Halbleiter-Dies 3700 kann sich mit dem zweiten Halbleiter-Die 3300 überschneiden. Das dritte Halbleiter-Die 3700 kann so angeordnet werden, dass der Abschnitt 3701 zwischen dem ersten Halbleiter-Die 3200 und dem Paketsubstrat 3100 angeordnet ist, und der Abschnitt 3702 zwischen dem zweiten Halbleiter-Die 3300 und dem Paketsubstrat 3100 angeordnet ist.
  • Das dritte Halbleiter-Die 3700 kann eine erste Oberfläche 3703 und eine zweite Oberfläche 3704 aufweisen, die einander gegenüberliegen. Das dritte Halbleiter-Die 3700 kann so angeordnet sein, dass die erste Oberfläche 3703 des ersten Halbleiter-Dies 3200 und das zweite Halbleiter-Die 3300 einander zugewandt sind, und dass die zweite Oberfläche 3704 und das Paketsubstrat 3100 einander zugewandt sind. Leitfähige Anschlusspads 3710 können auf der ersten Oberfläche 3703 des dritten Halbleiter-Dies 3700 angeordnet sein.
  • Das dritte Halbleiter-Die 3700 kann auch einen zweiten Grenzflächenbereich 3720 einschließen, der im Abschnitt 3701 angeordnet ist, und der zweite Grenzflächenbereich 3720 kann eine physikalische Schicht PHY einschließen. Das dritte Halbleiter-Die 3700 kann ferner einen Schaltungsbereich 3730 einschließen, in dem integrierte Schaltungen zum Steuern des zweiten Halbleiter-Die 3300 ausgebildet sind. Das zweite Halbleiter-Die 3300 kann einem Slave-Die entsprechen, das von dem dritten Halbleiter-Die 3700 gesteuert wird, und das dritte Halbleiter-Die 3700 kann einem Master-Die entsprechen. In diesem Fall ist in dem zweiten Halbleiter-Die 3300 kein TSV angeordnet.
  • Das dritte Halbleiter-Die 3700 kann so angeordnet sein, dass sich der Abschnitt 3701 des dritten Halbleiter-Dies 3700 mit dem ersten Halbleiter-Die 3200 überlappt. Das erste Halbleiter-Die 3200 kann einen ersten Grenzflächenbereich 3220 einschließen, der sich mit dem dritten Halbleiter-Die 3700 überlappt. Der erste Grenzflächenbereich 3220 kann integrierte Schaltungen zur Kommunikation mit dem dritten Halbleiter-Die 3700 einschließen. Das heißt, eine physikalische Schicht PHY zur Kommunikation mit dem dritten Halbleiter-Die 3700 kann im ersten Grenzflächenbereich 3220 angeordnet sein. Das erste Halbleiter-Die 3200 kann so angeordnet sein, dass sich der erste Grenzflächenbereich 3220 mit dem zweiten Grenzflächenbereich 3720 überlappt.
  • Das erste Halbleiter-Die 3200 kann über die ersten inneren Verbinder 3910 elektrisch mit dem dritten Halbleiter-Die 3700 verbunden sein. Die ersten inneren Verbinder 3910 können auf dem Abschnitt 3701 des dritten Halbleiter-Dies 3700 angeordnet sein, der sich mit dem ersten Halbleiter-Die 3200 überlappt. Die ersten inneren Verbinder 3910 können sich mit dem ersten Grenzflächenbereich 3220 und dem zweiten Grenzflächenbereich 3720 überlappen.
  • Das dritte Halbleiter-Die 3700 kann so angeordnet sein, dass sich der Abschnitt 3702 des dritten Halbleiter-Dies 3700 mit dem zweiten Halbleiter-Die 3300 überlappt. Das zweite Halbleiter-Die 3300 kann über zweite innere Verbinder 3920 elektrisch mit dem dritten Halbleiter-Die 3700 verbunden sein. Die zweiten inneren Verbinder 3920 können sich auf dem Abschnitt 3702 des dritten Halbleiter-Dies 3700 befinden, der sich mit dem zweiten Halbleiter-Die 3300 überlappt.
  • Die Formschicht 3800 kann das erste und zweite Bridge-Die 3500 und 3600 und das dritte Halbleiter-Die 3700 darin einbetten. Die Die-Anordnung 3400 kann ferner Umverteilungsleitungen 3850 einschließen, die das zweite Bridge-Die 3600 elektrisch mit dem dritten Halbleiter-Die 3700 verbinden.
  • Die Umverteilungsleitungen 3850 können erste Enden aufweisen, die mit den Anschlusspads 3710 des dritten Halbleiter-Dies 3700 verbunden sind, und zweite Enden, die mit dritten Durchkontaktierungen 3670 des zweiten Bridge-Dies 3600 verbunden sind. Die dritte Durchkontaktierung 3670 des zweiten Bridge-Dies 3600 kann elektrisch mit den Umverteilungsleitungen 3850 gekoppelt sein, um das dritte Halbleiter-Die 3700 elektrisch mit dem Paketsubstrat 3100 zu verbinden. Die dritte Durchkontaktierung 3670 des zweiten Bridge-Dies 3600 kann über die achten inneren Verbinder 3980 elektrisch mit dem Paketsubstrat 3100 verbunden sein. Die dritte Durchkontaktierung 3670 des zweiten Bridge-Dies 3600 kann so ausgebildet sein, dass sie im Wesentlichen die gleiche Form wie die zweite Durchkontaktierung 3630 aufweist. Die achten inneren Verbinder 3980 können Bumps sein und haben im Wesentlichen die gleiche Form wie die sechsten inneren Verbinder 3960.
  • Eine erste dielektrische Schicht 3861 und eine zweite dielektrische Schicht 3862 können nacheinander auf einer Oberfläche 3401 der Die-Anordnung 3400 gebildet werden. Die erste dielektrische Schicht 3861 und die zweite dielektrische Schicht 3862 können gebildet werden, um die Umverteilungsleitungen 3850 zu schützen und die Umverteilungsleitungen 3850 elektrisch voneinander zu isolieren.
  • 6 ist ein Blockdiagramm, das ein elektronisches System mit einer Speicherkarte 7800 darstellt, die mindestens eines der Halbleiterpakete gemäß den Ausführungsformen verwendet. Die Speicherkarte 7800 schließt einen Speicher 7810 ein, wie beispielsweise eine nichtflüchtige Speichervorrichtung, und eine Speichersteuerung 7820. Der Speicher 7810 und die Speichersteuerung 7820 können Daten speichern oder die gespeicherten Daten auslesen. Mindestens einer von dem Speicher 7810 und der Speichersteuerung 7820 kann mindestens eines der Halbleiterpakete gemäß den Ausführungsformen einschließen.
  • Der Speicher 7810 kann eine nichtflüchtige Speichervorrichtung einschließen, auf die die Technologie der Ausführungsformen der vorliegenden Offenbarung angewendet wird. Die Speichersteuerung 7820 kann den Speicher 7810 so steuern, dass gespeicherte Daten ausgelesen oder Daten als Reaktion auf eine Lese- / Schreibanforderung von einem Host 7830 gespeichert werden.
  • 7 ist ein Blockdiagramm, das ein elektronisches System 8710 darstellt, das mindestens eines der Halbleiterpakete gemäß den Ausführungsformen einschließt. Das elektronische System 8710 kann eine Steuerung 8711, eine Ein- / Ausgabevorrichtung 8712 und einen Speicher 8713 einschließen. Die Steuerung 8711, die Ein- /Ausgabevorrichtung 8712 und der Speicher 8713 können über einen Bus 8715 miteinander gekoppelt werden, der einen Pfad für die Datenbewegung bereitstellt.
  • In einer Ausführungsform kann die Steuerung 8711 einen oder mehrere Mikroprozessoren, digitale Signalprozessoren, Mikrocontroller und / oder logische Vorrichtungen einschließen, die die gleichen Funktionen wie diese Komponenten ausführen können. Die Steuerung 8711 oder der Speicher 8713 können mindestens eines der Halbleiterpakete gemäß den Ausführungsformen der vorliegenden Offenbarung einschließen. Die Ein- / Ausgabevorrichtung 8712 kann mindestens eines sein, ausgewählt von einem Keypad, einer Tastatur, einer Anzeigevorrichtung, einem Touchscreen und so weiter. Der Speicher 8713 ist eine Vorrichtung zum Speichern von Daten. Der Speicher 8713 kann Daten und / oder Befehle speichern, die von der Steuerung 8711 und dergleichen ausgeführt werden sollen.
  • Der Speicher 8713 kann eine flüchtige Speichervorrichtung wie ein DRAM und / oder eine nichtflüchtige Speichervorrichtung wie einen Flash-Speicher einschließen. So kann beispielsweise ein Flash-Speicher an einem Informationsverarbeitungssystem wie einem mobilen Endgerät oder einem Desktop-Computer angebracht sein. Der Flash-Speicher kann eine Solid State Disk (SSD) darstellen. In diesem Fall kann das elektronische System 8710 eine große Datenmenge stabil in einem Flash-Speichersystem speichern.
  • Das elektronische System 8710 kann ferner eine Schnittstelle 8714 einschließen, die zum Senden und Empfangen von Daten zu und von einem Kommunikationsnetzwerk konfiguriert ist. Die Schnittstelle 8714 kann drahtgebunden oder drahtlos sein. So kann beispielsweise die Schnittstelle 8714 eine Antenne oder einen drahtgebundenen oder drahtlosen Transceiver einschließen.
  • Das elektronische System 8710 kann als mobiles System, Personalcomputer, Industriecomputer oder Logiksystem mit verschiedenen Funktionen realisiert werden. Das mobile System kann beispielsweise ein Personal Digital Assistant (PDA), ein tragbarer Computer, ein Tablet-Computer, ein Mobiltelefon, ein Smartphone, ein drahtloses Telefon, ein Laptop-Computer, eine Speicherkarte, ein digitales Musiksystem und ein Informationsübertragungs- / Empfangssystem sein.
  • Wenn das elektronische System 8710 ein Gerät ist, das in der Lage ist, drahtlose Kommunikation durchzuführen, kann das elektronische System 8710 in einem Kommunikationssystem verwendet werden unter Verwendung einer Technik von CDMA (Code Division Multiple Access), GSM (Global System for Mobile Communications), NADC (North American Digital Cellular), E-TDMA (Enhanced Time Division Multiple Access), WCDAM (Wide Band Code Division Multiple Access), CDMA2000, LTE (Long Term Evolution) oder Wibro (Wireless Broadband Internet).
  • Ausführungsformen der vorliegenden Offenbarung wurden zum Zwecke der Veranschaulichung offengelegt. Diejenigen, die im Fachgebiet erfahren sind werden erkennen, dass verschiedene Änderungen, Ergänzungen und Alternativen möglich sind, ohne vom Anwendungsbereich und Geist der vorliegenden Offenbarung und der damit verbundenen Ansprüche abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • KR 1020190009634 [0001]

Claims (20)

  1. Halbleiterpaket, umfassend: ein erstes Halbleiter-Die, das auf einem Paketsubstrat angeordnet ist; einen Stapel von zweiten Halbleiter-Dies, die auf dem Paketsubstrat angeordnet sind, um von dem ersten Halbleiter-Die beabstandet angeordnet zu sein; ein erstes Bridge-Die mit ersten Durchkontaktierungen, die das erste Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden; ein zweites Bridge-Die mit zweiten Durchkontaktierungen, die den Stapel der zweiten Halbleiter-Dies mit dem Paketsubstrat elektrisch verbinden; ein drittes Halbleiter-Die, angeordnet, um sich mit dem ersten Halbleiter-Die und dem Stapel der zweiten Halbleiter-Dies zu überlappen; eine Formschicht, in die die ersten und zweiten Bridge-Dies und das dritte Halbleiter-Die eingebettet sind; erste innere Verbinder, die das erste Halbleiter-Die elektrisch mit dem dritten Halbleiter-Die verbinden; zweite innere Verbinder, die den Stapel der zweiten Halbleiter-Dies mit dem dritten Halbleiter-Die elektrisch verbinden; und Umverteilungsleitungen, die so angeordnet sind, dass sie das dritte Halbleiter-Die mit dem zweiten Bridge-Die elektrisch verbinden.
  2. Halbleiterpaket nach Anspruch 1, wobei das erste Bridge-Die zwischen dem ersten Halbleiter-Die und dem Paketsubstrat angeordnet ist, um sich mit dem ersten Halbleiter-Die zu überlappen.
  3. Halbleiterpaket nach Anspruch 1, wobei das zweite Bridge-Die zwischen dem Stapel der zweiten Halbleiter-Dies und dem Paketsubstrat angeordnet ist, um sich mit dem Stapel der zweiten Halbleiter-Dies zu überlappen.
  4. Halbleiterpaket nach Anspruch 1, wobei das erste Bridge-Die einen ersten Bridge-Die-Körper einschließt, durch den die erste Durchkontaktierung vertikal verläuft; und wobei der erste Bridge-Die-Körper ein Siliziummaterial einschließt.
  5. Halbleiterpaket nach Anspruch 1, wobei das zweite Bridge-Die ferner dritte Durchkontaktierungen einschließt, die mit den Umverteilungsleitungen elektrisch gekoppelt sind, um das dritte Halbleiter-Die mit dem Paketsubstrat elektrisch zu verbinden.
  6. Halbleiterpaket nach Anspruch 1, wobei das dritte Halbleiter-Die zwischen den ersten und zweiten Bridge-Dies angeordnet ist.
  7. Halbleiterpaket nach Anspruch 1, wobei das dritte Halbleiter-Die konfiguriert ist, um einen ersten Abschnitt aufzuweisen, der sich mit dem ersten Halbleiter-Die überlappt, und einen zweiten Abschnitt, der sich mit dem Stapel der zweiten Halbleiter-Dies überlappt.
  8. Halbleiterpaket nach Anspruch 7, wobei die ersten inneren Verbinder auf dem ersten Abschnitt des dritten Halbleiter-Dies angeordnet sind; und wobei die zweiten inneren Verbinder auf dem zweiten Abschnitt des dritten Halbleiter-Dies angeordnet sind.
  9. Halbleiterpaket nach Anspruch 1, wobei das erste Halbleiter-Die einen ersten Grenzflächenbereich einschließt, in dem sich eine physikalische Schicht zum Kommunizieren mit dem dritten Halbleiter-Die befindet; und wobei das dritte Halbleiter-Die einen zweiten Grenzflächenbereich einschließt, der sich mit dem ersten Grenzflächenbereich überlappt.
  10. Halbleiterpaket nach Anspruch 1, wobei die zweiten Halbleiter-Dies vertikal gestapelt und durch dritte Durchkontaktierungen miteinander elektrisch verbunden sind.
  11. Halbleiterpaket nach Anspruch 2, wobei die Umverteilungsleitungen auf einem Abschnitt der Formschicht angeordnet sind, der einen Raum zwischen dem zweiten Bridge-Die und dem dritten Halbleiter-Die füllt, und so angeordnet sind, um sich auf das zweite Bridge-Die und das dritte Halbleiter-Die zu erstrecken.
  12. Halbleiterpaket, umfassend: ein erstes Halbleiter-Die, das auf einem Paketsubstrat angeordnet ist, einen Stapel von zweiten Halbleiter-Dies, die auf dem Paketsubstrat angeordnet sind, um von dem ersten Halbleiter-Die beabstandet angeordnet zu sein, ein erstes Bridge-Die mit ersten Durchkontaktierungen, die das erste Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden, ein zweites Bridge-Die mit zweiten Durchkontaktierungen, die den Stapel der zweiten Halbleiter-Dies mit dem Paketsubstrat elektrisch verbinden, ein Träger-Die, das angeordnet ist, um sich mit dem ersten Halbleiter-Die und dem Stapel der zweiten Halbleiter-Dies zu überlappen, Leitungsmuster, die auf einer Oberfläche des Träger-Dies angeordnet sind, erste innere Verbinder, die das erste Halbleiter-Die mit den Leitungsmustern elektrisch verbinden, und zweite innere Verbinder, die den Stapel der zweiten Halbleiter-Dies mit den Leitungsmustern elektrisch verbinden.
  13. Halbleiterpaket nach Anspruch 12, wobei das Halbleiterpaket ferner eine Formschicht umfasst, in die die ersten und zweiten Bridge-Dies und das dritte Halbleiter-Die eingebettet sind.
  14. Halbleiterpaket nach Anspruch 12, wobei das Träger-Die konfiguriert ist, um einen ersten Abschnitt, der sich mit dem ersten Halbleiter-Die überlappt, und einen zweiten Abschnitt, der sich mit dem Stapel der zweiten Halbleiter-Dies überlappt, aufzuweisen.
  15. Halbleiterpaket nach Anspruch 14, wobei die ersten inneren Verbinder auf dem ersten Abschnitt des Träger-Dies angeordnet sind; wobei die zweiten inneren Verbinder auf dem zweiten Abschnitt des Träger-Dies angeordnet sind; und wobei sich die Leitungsmuster erstrecken, um sich mit den ersten und zweiten inneren Verbindern zu überlappen.
  16. Halbleiterpaket nach Anspruch 12, wobei das Träger-Die ein Siliziummaterial einschließt.
  17. Halbleiterpaket nach Anspruch 12, wobei das erste Bridge-Die zwischen dem ersten Halbleiter-Die und dem Paketsubstrat angeordnet ist, um sich mit dem ersten Halbleiter-Die zu überlappen, und wobei das zweite Bridge-Die zwischen dem Stapel der zweiten Halbleiter-Dies und dem Paketsubstrat angeordnet ist, um sich mit dem Stapel der zweiten Halbleiter-Dies zu überlappen.
  18. Halbleiterpaket nach Anspruch 12, wobei das erste Bridge-Die einen ersten Bridge-Die-Körper einschließt, durch den die erste Durchkontaktierung vertikal verläuft; und wobei der erste Bridge-Die-Körper ein Siliziummaterial einschließt.
  19. Halbleiterpaket nach Anspruch 12, wobei die zweiten Halbleiter-Dies vertikal gestapelt und durch dritte Durchkontaktierungen miteinander elektrisch verbunden sind.
  20. Halbleiterpaket, umfassend: ein erstes Halbleiter-Die, das auf einem Paketsubstrat angeordnet ist, ein zweites Halbleiter-Die, das auf dem Paketsubstrat angeordnet ist, um von dem ersten Halbleiter-Die beabstandet zu sein, ein erstes Bridge-Die mit ersten Durchkontaktierungen, die das erste Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden, ein zweites Bridge-Die mit zweiten Durchkontaktierungen, die das zweite Halbleiter-Die mit dem Paketsubstrat elektrisch verbinden, ein drittes Halbleiter-Die, das angeordnet ist, um sich mit dem ersten Halbleiter-Die und dem zweiten Halbleiter-Die zu überlappen, eine Formschicht, in die die ersten und zweiten Bridge-Dies und das dritte Halbleiter-Die eingebettet sind; erste innere Verbinder, die das erste Halbleiter-Die mit dem dritten Halbleiter-Die elektrisch verbinden, zweite innere Verbinder, die das zweite Halbleiter-Die mit dem dritten Halbleiter-Die elektrisch verbinden, und Umverteilungsleitungen, die angeordnet sind, um das dritte Halbleiter-Die mit dem zweiten Bridge-Die elektrisch zu verbinden.
DE102019129155.0A 2019-01-25 2019-10-29 Halbleiterpakete mit bridge-die Pending DE102019129155A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020190009634A KR20200092566A (ko) 2019-01-25 2019-01-25 브리지 다이를 포함한 반도체 패키지
KR10-2019-0009634 2019-01-25

Publications (1)

Publication Number Publication Date
DE102019129155A1 true DE102019129155A1 (de) 2020-07-30

Family

ID=71524143

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019129155.0A Pending DE102019129155A1 (de) 2019-01-25 2019-10-29 Halbleiterpakete mit bridge-die

Country Status (5)

Country Link
US (1) US10991640B2 (de)
KR (1) KR20200092566A (de)
CN (1) CN111490029B (de)
DE (1) DE102019129155A1 (de)
TW (1) TW202029423A (de)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10608638B2 (en) 2018-05-24 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US20210005542A1 (en) * 2019-07-03 2021-01-07 Intel Corporation Nested interposer package for ic chips
KR102605619B1 (ko) * 2019-07-17 2023-11-23 삼성전자주식회사 기판 관통 비아들을 포함하는 반도체 소자 및 그 제조 방법
US11887930B2 (en) 2019-08-05 2024-01-30 iCometrue Company Ltd. Vertical interconnect elevator based on through silicon vias
US11637056B2 (en) * 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
KR20210048256A (ko) * 2019-10-23 2021-05-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20210098728A (ko) * 2020-02-03 2021-08-11 삼성전자주식회사 적층형 메모리 장치 및 상기 적층형 메모리 장치의 동작 방법
KR20220028741A (ko) * 2020-08-31 2022-03-08 에스케이하이닉스 주식회사 적층 반도체 칩을 포함하는 반도체 패키지
TWI738525B (zh) * 2020-09-24 2021-09-01 矽品精密工業股份有限公司 電子封裝件及其製法
US20220231209A1 (en) * 2021-01-19 2022-07-21 Innostar Service Inc. Led display
KR20220108265A (ko) * 2021-01-26 2022-08-03 삼성전자주식회사 멀티 레벨 시그널링을 이용하는 고대역폭 메모리 시스템
WO2022261812A1 (zh) * 2021-06-15 2022-12-22 华为技术有限公司 三维堆叠封装及三维堆叠封装制造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190009634A (ko) 2017-07-19 2019-01-29 주식회사 엘지화학 배터리 냉각 장치 및 이를 포함하는 배터리 팩

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7514797B2 (en) * 2007-05-31 2009-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die wafer level packaging
US8263434B2 (en) * 2009-07-31 2012-09-11 Stats Chippac, Ltd. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP
KR101153222B1 (ko) * 2010-12-20 2012-06-07 한국과학기술원 적층 칩 패키지, 이를 포함하는 반도체 모듈 및 적층 칩 패키지의 제조 방법
KR101236798B1 (ko) 2011-02-16 2013-02-25 앰코 테크놀로지 코리아 주식회사 웨이퍼 레벨 적층형 반도체 패키지 제조 방법
US9337120B2 (en) * 2012-08-17 2016-05-10 Cisco Technology, Inc. Multi-chip module with multiple interposers
KR102033787B1 (ko) * 2013-06-05 2019-10-17 에스케이하이닉스 주식회사 플렉시블 적층 패키지
US10026671B2 (en) * 2014-02-14 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9443824B1 (en) * 2015-03-30 2016-09-13 Qualcomm Incorporated Cavity bridge connection for die split architecture
KR20160122022A (ko) * 2015-04-13 2016-10-21 에스케이하이닉스 주식회사 인터포저를 갖는 반도체 패키지 및 제조 방법
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9721923B1 (en) * 2016-04-14 2017-08-01 Micron Technology, Inc. Semiconductor package with multiple coplanar interposers
KR102624199B1 (ko) 2016-11-17 2024-01-15 에스케이하이닉스 주식회사 관통 실리콘 비아 기술을 적용한 반도체 패키지
KR101983188B1 (ko) * 2016-12-22 2019-05-28 삼성전기주식회사 팬-아웃 반도체 패키지
KR20190013051A (ko) * 2017-07-31 2019-02-11 삼성전기주식회사 팬-아웃 반도체 패키지

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190009634A (ko) 2017-07-19 2019-01-29 주식회사 엘지화학 배터리 냉각 장치 및 이를 포함하는 배터리 팩

Also Published As

Publication number Publication date
US20200243422A1 (en) 2020-07-30
CN111490029A (zh) 2020-08-04
US10991640B2 (en) 2021-04-27
CN111490029B (zh) 2023-12-12
TW202029423A (zh) 2020-08-01
KR20200092566A (ko) 2020-08-04

Similar Documents

Publication Publication Date Title
DE102019129155A1 (de) Halbleiterpakete mit bridge-die
DE112008002459B4 (de) Integrierte-Schaltkreis-Bausteine mit hoch-dichten bumpless bild-up layers und einem Substrat mit dichtevermindertem Kern oder einem kernlosen Substrat
DE112009000383B4 (de) Package-on-Package unter Verwendung eines löthöckerlosen Aufbauschicht (BBUL)-Bausteins
US9842809B2 (en) Semiconductor packages having EMI shielding parts and methods of fabricating the same
DE112013000419B4 (de) System-In-Package mit eingebetteter RF-Chiplage in kernlosem Substrat
US9640473B2 (en) Semiconductor packages
DE102012109374A1 (de) Halbleitergehäuse und Verfahren zum Herstellen desselben
US10796999B2 (en) Floating-bridge interconnects and methods of assembling same
CN108074912B (zh) 包括互连器的半导体封装
DE112013000508T5 (de) Chiplagenstapel-Paket einschließlich Chiplage-in-Paket-Substrat
DE102019129642A1 (de) Halbleiterpakete
US20220278084A1 (en) Molded interconnects in bridges for integrated-circuit packages
US11557523B2 (en) Semiconductor packages and methods of forming the semiconductor packages
DE112017006496T5 (de) Skalierbare eingebettete siliziumbrücken-via-säulen in lithographisch definierten vias und verfahren zum herstellen derselben
US20190080999A1 (en) Package substrates with signal transmission paths relating to parasitic capacitance values
DE112012002370T5 (de) Mikroelektronisches Substrat für alternierende Package-Funktionalität
DE102020103364A1 (de) Substrat-Patch-Rekonstitutionsoptionen
DE102015109154A1 (de) Hochdichte Chip-Chip-Verbindung
DE102019129742A1 (de) Halbleiterpakete
DE102018204096A1 (de) Integrierte Antenne für Konnektivitätsmodul-Packungsstrukturen mit direkter Chipanbringung
DE112014000546T5 (de) Verfahren zum Bilden von Verschaltungsstrukturen unter der Vorrichtung
US20190237398A1 (en) Semiconductor packages
DE112016007539T5 (de) VERTIKALES, GESTAPELTES CHIP-GRÖßENORDNUNG BONDDRAHTGEHÄUSE MIT ANWENDUNGSSPEZIFISCHEM INTEGRIERTEM SCHALTUNGS-DIE AUF DEM STAPEL UND VERFAHREN ZUM HERSTELLEN DESSELBEN
DE112016005795T5 (de) Integrierte schaltkreisanordnung mit gestapelten rohchips
US20220015244A1 (en) Printed wiring-board islands for connecting chip packages and methods of assembling same

Legal Events

Date Code Title Description
R012 Request for examination validly filed