DE102017117819A1 - Eine verbesserte dielektrische schicht für die halbleiterherstellung - Google Patents

Eine verbesserte dielektrische schicht für die halbleiterherstellung Download PDF

Info

Publication number
DE102017117819A1
DE102017117819A1 DE102017117819.8A DE102017117819A DE102017117819A1 DE 102017117819 A1 DE102017117819 A1 DE 102017117819A1 DE 102017117819 A DE102017117819 A DE 102017117819A DE 102017117819 A1 DE102017117819 A1 DE 102017117819A1
Authority
DE
Germany
Prior art keywords
dielectric layer
metal
layer
silane
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017117819.8A
Other languages
English (en)
Inventor
Cheng-Yi Wu
Li-Hsuan CHU
Ching-Wen Wen
Chai-Chung Hung
Chen Liang Chang
Chin-Szu Lee
Hsiang Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017117819A1 publication Critical patent/DE102017117819A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/14612Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor
    • H01L27/14614Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor having a special gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es wird ein Verfahren zur Halbleiterherstellung offenbart. Das Verfahren umfasst das Empfangen einer Vorrichtung mit einer ersten Fläche, durch die ein erstes Metall oder ein Oxid des ersten Metalls freigelegt ist. Das Verfahren umfasst weiter das Abscheiden einer dielektrischen Schicht mit Si, N, C und O über der ersten Fläche, sodass die dielektrische Schicht eine höhere Konzentration von N und C in einem ersten Abschnitt der dielektrischen Schicht nahe der ersten Fläche aufweist als in einem zweiten Abschnitt der dielektrischen Schicht, der von der ersten Fläche weiter entfernt ist als der erste Abschnitt. Das Verfahren umfasst weiter das Bilden eines leitfähigen Elements über der dielektrischen Schicht. Die dielektrische Schicht isoliert elektrisch das leitfähige Element gegenüber dem ersten Metall oder dem Oxid des ersten Metalls.

Description

  • HINTERGRUND
  • Dielektrische Schichten sind ein wesentliches Element bei der Halbleiterherstellung. Beispielsweise werden Zwischenschichtdielektrikum-(ILD)-Filme in integrierten Schaltungen (IC) zum Einbetten verschiedener Metalldurchkontaktierungen und Metalldrähte der IC verwendet. Als weiteres Beispiel werden dielektrische Schichten in tiefen Grabenisolation-Merkmalen in CMOS-Bildsensoren wie FSI-(vorderseitenbeleuchteten)-Bildsensoren und BSI-(rückseitenbeleuchteten)-Bildsensoren verwendet. Bei noch einem weiteren Beispiel werden dielektrische Schichten als Auskleidungsschichten in Siliziumdurchkontaktierungen (TSV) beim 3D-(dreidimensionalen)-IC-Packaging verwendet.
  • Eine Hauptfunktion der dielektrischen Schichten ist es, unterschiedliche Metallmerkmale elektrisch zu isolieren. Beim Herstellen einer IC mit High-k-Metallgatetransistoren ist es beispielsweise eine typische Praxis, einen Siliziumoxidfilm (eine dielektrische Schicht) über dem Metallgate abzuscheiden und Metalldurchkontaktierungen und Metalldrähte über dem Siliziumoxidfilm zu bilden. Der Siliziumoxidfilm soll das Metallgate gegenüber den Metalldurchkontaktierungen und Metalldrähten isolieren. Manchmal tritt jedoch ein Problem auf: Das Metallgate kann während der Abscheidung des Siliziumoxidfilms mit bestimmten Chemikalien reagieren, was darin resultiert, dass in den letztendlich abgeschiedenen Siliziumoxidfilm einige Metallverbindungen gemischt sind. Diese Metallverbindungen können zu Kurzschlüssen zwischen dem Metallgate und den anschließend hergestellten Metalldurchkontaktierungen führen.
  • Dementsprechend sind eine verbesserte dielektrische Schicht für die Halbleiterherstellung und Verfahren zum Herstellen derselben gewünscht.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen werden. Es wird betont, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstäblich gezeichnet sind. Tatsächlich können die Dimensionen der verschiedenen Merkmale zur Übersichtlichkeit der Erörterung willkürlich vergrößert oder verkleinert sein.
  • 1 ist ein Flussdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung mit einer verbesserten dielektrischen Schicht gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung.
  • Die 2A, 2B und 2C veranschaulichen Querschnittansichten einer Halbleitervorrichtung während einiger Herstellungsstufen des Verfahrens von 1 gemäß einigen Ausführungsformen.
  • 2D veranschaulicht Bestandteile einer verbesserten dielektrischen Schicht nach einem Herstellungsschritt des Verfahrens von 1 gemäß einigen Ausführungsformen.
  • 3A veranschaulicht eine weitere Halbleitervorrichtung mit einer verbesserten dielektrischen Schicht gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung.
  • Die 3B und 3C sind eine vergrößerte Teilansicht von bestimmten Merkmalen der Vorrichtung von 3A.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele bereit, um unterschiedliche Merkmale des bereitgestellten Gegenstandes zu implementieren. Es werden nachfolgend spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht begrenzen. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen die ersten und zweiten Merkmale in direktem Kontakt gebildet sind, und auch Ausführungsformen, bei denen zusätzliche Funktionen zwischen den ersten und zweiten Merkmalen gebildet sein können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt sein können. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient zum Zweck der Einfachheit und Übersichtlichkeit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „darunter”, „unter”, „untere”, „über”, „obere” und dergleichen zur Erleichterung der Erörterung hierin verwendet sein, um die Beziehung eines Elements oder Merkmals zu einem bzw. zu anderen Elementen oder Merkmalen wie veranschaulicht in den Figuren zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren gezeigt ist, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb der Vorrichtung umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hier verwendeten räumlichen relativen Beschreiber können desgleichen dementsprechend interpretiert werden.
  • Die vorliegende Offenbarung betrifft generell dielektrische Schichten für die Halbleiterherstellung und insbesondere eine verbesserte dielektrische Schicht mit Gehalten von Si, N, C und O. Bei einer Ausführungsform weist die verbesserte dielektrische Schicht eine höhere Konzentration von N und C in seinem unteren Abschnitt auf als in seinem oberen Abschnitt. Diese Eigenschaft unterstützt dabei, Metallelemente (z. B. Metallgates) unter der dielektrischen Schicht gegenüber Metallelementen (z. B. Metalldurchkontaktierungen) elektrisch zu isolieren, die sich über der dielektrischen Schicht befinden. Die verbesserte dielektrische Schicht kann unter Verwendung von beispielsweise chemischer Gasphasenabscheidung bei niedriger Temperatur (LT CVD) oder Atomlagenabscheidung abgeschieden werden. Gemäß einigen Ausführungsformen des bereitgestellten Gegenstandes reagieren die verwendeten Vorläufer zum Abscheiden der verbesserten dielektrischen Schicht mit den Metallelementen darunter nicht (oder unerheblich). Daher reduziert dies die Wahrscheinlichkeit eines Metall-Kriechstromverlusts, der bei Siliziumoxiddielektrischenschichten manchmal beobachtet wird. Eine ausführlichere Beschreibung des verbesserten Dielektrische Schichtens und der Verfahren zum Herstellen desselben werden in Verbindung mit den 1 bis 3C nachfolgend beschrieben.
  • Unter Bezugnahme auf 1 ist ein Flussdiagramm eines Verfahrens 10 des Bildens einer Halbleitervorrichtung 100 mit einer verbesserten dielektrischen Schicht als ein Zwischenschichtdielektrikum-(ILD)-Film zwischen einer Transistorschicht und einer Metallkopplungsstrukturschicht gemäß verschiedenen Aspekten der vorliegenden Offenbarung gezeigt. Das Verfahren 10 ist lediglich ein Beispiel und nicht dazu beabsichtigt, die vorliegende Offenbarung über das hinaus, was explizit in den Ansprüchen angeführt ist, zu begrenzen. Zusätzliche Arbeitsvorgänge können vor, während und nach dem Verfahren 10 vorgesehen sein und einige beschriebene Arbeitsvorgänge können für zusätzliche Ausführungsformen des Verfahrens ausgetauscht, eliminiert oder anders angeordnet werden. Das Verfahren 10 wird nachfolgend in Verbindung mit den 2A, 2B und 2C beschrieben, welche Schnittdarstellungen der Halbleitervorrichtung 100 bei verschiedenen Stufen eines Herstellungsverfahrens sind. Weiter veranschaulicht 2D die Charakteristiken der verbesserten dielektrischen Schichtens bei einer Ausführungsform. Die Halbleitervorrichtung 100 wird für Veranschaulichungszwecke bereitgestellt und begrenzt die Ausführungsformen der vorliegenden Offenbarung nicht zwangsläufig auf irgendeine Anzahl von Vorrichtungen, irgendeine Anzahl von Regionen oder irgendeine Konfiguration von Strukturen oder Regionen. Des Weiteren kann die Halbleitervorrichtung 100 wie gezeigt in den 2A, 2B und 2C eine zwischengeschaltete Vorrichtung sein, die während des Verarbeitens einer IC oder eines Abschnitts davon hergestellt wird, welche Static Random Access Memory (SRAM) und/oder Logikschaltungen, passive Bauelemente, wie Widerstände, Kondensatoren und Induktoren, und aktive Bauelemente wie p-Feldeffekttransistoren (PFETs), N-FETs (NFETs), Mehrgate-FETs wie FinFETs, Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs), Komplementär-Metalloxidhalbleiter-(CMOS)-Transistoren, Bipolartransistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere Speicherzellen und Kombinationen davon umfassen kann.
  • Unter Bezugnahme auf 1 empfängt bei Arbeitsvorgang 12 das Verfahren 10 einen Vorläufer der Vorrichtung 100 (oder er wird bereitgestellt). Zur Erleichterung der Beschreibung wird der Vorläufer der Vorrichtung 100 auch als die Vorrichtung 100 bezeichnet. Die Vorrichtung 100 weist eine Fläche auf, durch die ein Metall oder ein Metalloxid freigelegt ist. Eine verbesserte dielektrische Schicht ist auf der Fläche abzuscheiden.
  • Eine Ausführungsform der Vorrichtung 100 ist in 2A gezeigt. Unter Bezugnahme auf 2A umfasst die Vorrichtung 100 eine Halbleiterschicht 98 und eine Gateschicht 99. Die Halbleiterschicht 98 umfasst ein Halbleitersubstrat 102 und verschiedene darin gebildete Merkmale. Die Gateschicht 99 umfasst Silizidmerkmale 106, den Gatestapel 108, die Gateabstandselemente 116 und verschiedene Dielektrikumschichten 118 und 120, die auf dem Halbleitersubstrat 102 gebildet sind. Verschiedene Metallelemente und/oder Metalloxide sind durch eine obere Fläche 130 der Gateschicht 130 freigelegt. Die verbesserte dielektrische Schicht gemäß der vorliegenden Offenbarung ist auf der Fläche 130 abzuscheiden.
  • Unter weiterer Bezugnahme auf 2A umfasst das Substrat 102 verschiedene Transistor-Source- und Drain-(S/D)-Merkmale 104 und Transistorkanäle 105 zwischen den S/D-Merkmalen 104. Die Gatestapel 108 sind über den Transistorkanälen 105 angeordnet. Die Gateabstandselemente 116 sind auf Seitenwänden jedes Gatestapels 108 angeordnet. Die verschiedenen Dielektrikumschichten umfassen eine Kontaktätzstopp-(CES)-Schicht 118 auf Seitenwänden der Gateabstandselemente 116 und auf Silizidmerkmalen 106 und eine Zwischenschichtdielektrikum-(ILD)-Schicht 120 über der CES-Schicht 118. Die verschiedenen Merkmale (oder Komponenten) der Vorrichtung 100 werden nachfolgend weiter beschrieben.
  • Das Substrat 102 ist in der vorliegenden Ausführungsform ein Siliziumsubstrat. Bei alternativen Ausführungsformen umfasst das Substrat 102 andere Elementhalbleiter wie Germanium; einen Verbindungshalbleiter wie Siliziumkarbid, Galliumarsenid, Indiumarsenid und Indiumphosphid; oder einen Legierungshalbleiter wie Siliziumgermaniumcarbid, Galliumarsenphosphid und Galliumindiumphosphid. Bei Ausführungsformen kann das Substrat 102 Silizium auf Isolator-(SOI)-Substrat umfassen, zur Leistungsverbesserung gespannt und/oder beansprucht sein, Epitaxialregionen umfassen, Isolierungsregionen umfassen, dotierte Regionen umfassen und/oder andere geeignete Merkmale und Schichten umfassen.
  • Die S/D-Merkmale 104 können hochdotierte S/D (HDD) (wie das S/D-Merkmal 104 links), schwach dotierte S/D (LDD), angehobene Regionen, gespannte Regionen, epitaktisch gewachsene Regionen (wie die zwei S/D-Merkmale 104 rechts) und/oder andere geeignete Merkmale umfassen. Die S/D-Merkmale 104 können durch Ätzen und epitaktisches Aufwachsen, Halo-Implantation, S/D-Implantation, S/D-Aktivierung und/oder andere geeignete Prozesse gebildet sein. Die Silizidmerkmale 106 sind direkt über den S/D-Merkmalen 104 gebildet, um einen S/D-Kontaktwiderstand zu reduzieren, und können selbstausrichtende Silizidierung (Salizidierung) umfassen. Die Silizidmerkmale 106 können beispielsweise durch einen Prozess gebildet werden, der das Abscheiden einer Metallschicht, das Glühen der Metallschicht, sodass die Metallschicht mit Halbleitermaterial in den S/D-Merkmalen 104 reagieren kann, um Silizid oder eine Monogerman-Silizidierung zu bilden, und dann das Entfernen der nichtreagierten Metallschicht umfasst. Die Transistorkanäle 105 sind zwischen einem Paar von S/D-Merkmalen 104 eingelegt. Die Transistorkanäle 105 führen Ströme zwischen den entsprechenden S/D-Merkmalen 104, wenn die Halbleitervorrichtung 100 in Verwendung ist. Bei einer Ausführungsform umfasst das Substrat 102 finnenartige aktive Regionen, um Mehrgate-FETs wie FinFETs zu bilden. Um diese Ausführungsform weiter auszuführen, werden die S/D-Merkmale 104 und die Transistorkanäle 105 in oder auf den Finnen gebildet.
  • Die Gatestapel 108 sind über den Transistorkanälen 105 angeordnet. Jeder Gatestapel 108 ist eine mehrschichtige Struktur. Bei einer Ausführungsform umfasst der Gatestapel 108 eine Zwischenschicht 107, eine Gatedielektrikumschicht 110, eine Austrittsarbeitsmetallschicht 112, eine Metallfüllschicht 114 und andere Schichten (nicht bezeichnet). Die Zwischenschicht 107 kann ein Dielektrikum wie Siliziumoxid (SiO2) oder Siliziumoxinitrid (SiON) umfassen und kann durch chemische Oxidation, Thermooxidation, Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD) und/oder andere geeignete Verfahren gebildet werden. Die Gatedielektrikumschicht 110 kann eine High-k-Dielektrikumschicht wie Hafniumoxid (HfO2), Zirkonoxid (ZrO2), Lanthanoxid (La2O3), Titanoxid (TiO2), Yttriumoxid (Y2O3), Strontiumzitanat (SrTiO3), andere geeignete Metalloxide oder Kombinationen davon umfassen; und kann durch ALD und/oder andere geeignete Verfahren gebildet werden. Die Austrittsarbeitsmetallschicht 112 kann eine p- oder eine n-Austrittsarbeitschicht sein. Die p-Austrittsarbeitschicht umfasst ein Metall, das ohne Einschränkung ausgewählt ist aus der Gruppe von Titannitrid (TiN), Tantalnitrid (TaN), Ruthenium (Ru), Molybdän (Mo), Wolfram (W), Platin (Pt) oder Kombinationen davon. Die n-Austrittsarbeitschicht umfasst ein Metall, das ohne Einschränkung ausgewählt ist aus der Gruppe von Titan (Ti), Aluminium (Al), Tantalkarbid (TaC), Tantalkarbidnitrid (TaCN), Tantalsiliziumnitrid (TaSiN) oder Kombinationen davon. Die Austrittsarbeitsmetallschicht 112 kann mehrere Schichten umfassen und kann durch CVD, PVD und/oder einen anderen geeigneten Prozess abgeschieden sein. Die Metallfüllschicht 114 kann Aluminium (Al), Wolfram (W), Cobalt (Co), Kupfer (Cu) und/oder andere geeignete Materialien umfassen. Die Metallfüllschicht 114 kann durch CVD, PVD, Plattierung und/oder andere geeignete Prozesse gebildet sein. Der Gatestapel 108 kann in einem Gate-First-Prozess oder einem Gate-Last-Prozess (d. h., einem Austauschgateprozess) gebildet werden.
  • Die Gateabstandselemente 116 können eine Einzelschicht- oder Mehrschichtstruktur sein, die auf Seitenwänden der Gatestapel 108 angeordnet sind. Bei einer Ausführungsform umfassen die Abstandselemente 116 ein Low-k-(z. B. k < 3,9)-Dielektrikum. Bei einigen Ausführungsformen umfassen die Gateabstandselemente 116 ein Dielektrikum, wie Siliziumoxid (SiO2), Siliziumnitrid (SiN), Siliziumoxinitrid (SiON), ein anderes Dielektrikum oder eine Kombination davon. Bei einem Beispiel werden die Gateabstandselemente 116 durch ganzflächiges Abscheiden einer ersten Dielektrikumschicht (z. B. einer SiO2-Schicht mit einer gleichförmigen Dicke) als eine Auskleidungsschicht über der Vorrichtung 100 und einer zweiten Dielektrikumschicht (z. B. einer SiN-Schicht) als ein D-geformtes Hauptabstandselement über der ersten Dielektrikumschicht und dann durch anisotropes Ätzen, um Abschnitte der Dielektrikumschichten zu entfernen und die Gateabstandselemente 116 zu bilden, gebildet.
  • Die CES-Schicht 118 kann ein Dielektrikum wie Siliziumnitrid (SiN), Siliziumoxid (SiO2), Siliziumoxinitrid (SiON) und/oder andere Materialien umfassen. Die CES-Schicht 118 kann durch einen plasmaunterstützten CVD-(PECVD)-Prozess und/oder andere geeignete Abscheidungs- oder Oxidationsvorgänge gebildet werden. Die ILD-Schicht 120 kann Materialien wie Tetraethylorthosilicat-(TEOS)-Oxid, undotiertes Silikatglas oder dotiertes Siliziumoxid wie Borophosphosilikatglas (BPSG), synthetisches Quarzglas (FSG), Phosphorsilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete Dielektrika umfassen. Die ILD-Schicht 120 kann durch einen PECVD-Prozess, einen fließfähigen CVD-(FCVD)-Prozess oder eine andere geeignete Abscheidungstechnik abgeschieden werden.
  • Bei einer Ausführungsform wird die CES-Schicht 118 über dem Substrat 102 abgeschieden, wobei sie verschiedene Strukturen darauf abdeckt, und die ILD-Schicht 120 wird über der CES-Schicht 118 abgeschieden. Anschließend wird ein chemisch-mechanisches Polieren-(CMP)-Prozess ausgeführt, um die ILD-Schicht 120 und die CES-Schicht 118 zu planarisieren und teilweise zu entfernen, was eine ebene obere Fläche 130 erzeugt, die obere Flächen der Gatestapel 108 umfasst. Insbesondere werden ein oder mehrere Metallelemente und/oder ein oder mehrere Metalloxide durch die Fläche 130 freigelegt. Beispielsweise wird die Metallfüllschicht 114 an der Fläche 130 freigelegt und kann Al, W, Co, Cu und/oder andere geeignete Metallmaterialien umfassen.
  • Bei einigen Fertigungsprozessen wird ein Siliziumoxidfilm über der Fläche 130 gebildet und Metalldurchkontaktierungen und Metalldrähte werden anschließend in oder auf dem Siliziumoxidfilm gebildet. Der Siliziumoxidfilm kann beispielsweise durch Reduzieren von Silan (SiH4) mit Sauerstoff unter Verwendung eines chemischen Gasphasenabscheidungs-(CVD)-Verfahrens gebildet werden. Es ergibt sich manchmal ein Problem mit solchen Fertigungsprozessen – die Metallelemente, die an der Fläche 130 freigelegt sind, können während der Abscheidung mit Siliziumradikalen reagieren und dadurch Si-Metalllegierungen bilden. Solch eine Reaktion kann wie folgt erklärt werden: SiH4 + O2 + Metall → SiO2 + SiOH + H2O + Si-Metall (1) Die Si-Metalllegierung kann im SiO2-Film zufällig verteilt sein und kann abhängig von den Metallelementen in der Metallfüllschicht 114 sowie in anderen IC-Merkmalen, die an der Fläche 130 freigelegt sind, eine Aluminiumsiliziumlegierung, Kupfersiliziumlegierung oder andere Metallsiliziumlegierungen umfassen. Wenn Metalldurchkontaktierungen (wie die Metalldurchkontaktierungen 154 in 2C) über diesem Siliziumoxidfilm gebildet werden, würde die Si-Metalllegierung zu einer Kriechstrecke zwischen den Metalldurchkontaktierungen und den Metallgates 108 werden, was Kurzschlüsse oder andere Arten von Fehlern verursachen würde. Der bereitgestellte Gegenstand löst dieses Problem durch Abscheiden einer verbesserten dielektrischen Schicht 132 über der Fläche 130. Die verbesserte dielektrische Schicht 132 enthält Si, N, C und O ohne Si-Metalllegierung(en) darin. Dies wird in Verbindung mit den 2B und 2D beschrieben.
  • Bei Arbeitsvorgang 14 scheidet das Verfahren 10 (1) die verbesserte dielektrische Schicht 132 über der Fläche 130 ab. Unter Bezugnahme auf 2B wird die dielektrische Schicht 132 bei der vorliegenden Ausführungsform direkt auf der Fläche 130 abgeschieden. Bei einer Ausführungsform umfasst der Arbeitsvorgang 14 einen LT CVD-Prozess, der Sauerstoff und eine organische Verbindung als Vorläufer verwendet, wobei die organische Verbindung Silizium und Stickstoff aufweist. Als ein Beispiel ist die organische Verbindung BTBAS (Bis(tertiärbutylamino)silan). Die Erfinder des bereitgestellten Gegenstandes haben festgestellt, dass das Reduzieren von BTBAS mit Sauerstoff in einer Niedrigtemperaturumgebung keine Metallsiliziumlegierung in der dielektrischen Schicht 132 erzeugt. Während der Mechanismus der Reaktion den Umfang der Ansprüche nicht beeinflusst, wird davon ausgegangen, dass bei einigen Ausführungsformen die folgende Reaktion im LT CVD-Prozess mit BTBAS und Sauerstoff dominant sein kann: BTBAS + O2 + Metall → SiO2 + SiCON + SiCN + SiC + Metall (2) In der vorstehenden Reaktion (2) reagieren Si-Radikale nicht mit dem Metall. Daher wird keine Si-Metalllegierung erzeugt. Weiter weist die dielektrische Schicht 132 eine einzigartige Eigenschaft auf, dass er höhere Konzentrationen von N und/oder C in einem unteren Abschnitt 134 der dielektrischen Schicht 132 enthält als in einem oberen Abschnitt 136. Wie hierin verwendet, bezeichnet der untere Abschnitt 134 einen Abschnitt der dielektrischen Schicht 132, der sich nahe der Fläche 130 befindet, während der obere Abschnitt 136 einen anderen Abschnitt der dielektrischen Schicht 132 bezeichnet, der sich von der Fläche 130 entfernt befindet. Diese Eigenschaft ist weiter in 2D unter Verwendung von Messungen der Gehalte von O, Si, H, N und C in der dielektrischen Schicht 132 gemäß einer Ausführungsform gezeigt.
  • Unter Bezugnahme auf 2D zeigt eine grafische Darstellung 200 die relativen Konzentrationen der Gehalte von O, Si, H, N und C in der dielektrischen Schicht 132 als eine Funktion der Tiefe der dielektrischen Schicht 132 zwischen einer oberen Fläche 140 der dielektrischen Schicht 132 und der Fläche 130 entlang der Z-Achse (2B). Die obere Fläche 140 kann durch einen CMP-Prozess als eine ebene Fläche bereitgestellt werden. Insbesondere zeigt die Kurve 202 den N-Gehalt in der dielektrischen Schicht 132, die Kurve 204 den C-Gehalt, die Kurve 206 den O-Gehalt, die Kurve 208 den Si-Gehalt und die Kurve 210 den H-Gehalt. Wie in 2D gezeigt sind die Konzentrationen der N- und C-Gehalte im unteren Abschnitt 134 viel höher als im oberen Abschnitt 136. Bei der vorliegenden Ausführungsform ist jede der Konzentrationen von N und C im unteren Abschnitt 134 mindestens 10 Mal höher als im oberen Abschnitt 136. Die N- und C-Gehalte können in der Form von SiCON, SiCN und/oder SiC vorhanden sein. Effektiv ist der untere Abschnitt 134 eine Schicht aus Siliziumcarbid und/oder Siliziumkarbidnitrid. Diese Schicht aus Siliziumcarbid und/oder Siliziumcarbidnitrid fungiert als eine Schutzschicht über der Fläche 130, welche die Metallelemente der Fläche 130 daran hindert, während des LT CVD-Prozess mit Siliziumradikalen zu reagieren. Im Gegensatz dazu ist Siliziumoxid der dominante Gehalt im oberen Abschnitt 136 der dielektrischen Schicht 132.
  • Bei Ausführungsformen wird der LT CVD-Prozess des Arbeitsvorgangs 14 bei einer Temperatur unter dem Schmelzpunkt der Metallelemente in der Fläche 130 ausgeführt. Der LT CVD-Prozess kann beispielsweise bei einer Temperatur im Bereich von 300 bis 400 Grad Celsius ausgeführt werden, was unterhalb der Schmelzpunkte von Aluminium (660,3°C) und Kupfer (1,085°C) ist. Wenn die Metallfüllschicht 114 Co oder W verwendet (deren Schmelzpunkte entsprechend 1,495°C und 3,422°C sind), kann eine höhere Temperatur für den CVD-Prozess verwendet werden. Weiter kann der LT CVD-Prozess andere organische Verbindungen mit Silizium und Stickstoff zusätzlich zu oder anstelle von BTBAS verwenden. Der LT CVD-Prozess kann beispielsweise ein anderes Aminosilan wie BDEAS (Bis(diethylamino)silan) und TIPAS (Tris(isopropylamino)silan) verwenden. Für ein weiteres Beispiel kann die organische Verbindung BDEAES (Bis(diethylamino)ethylsilan) oder TEES (Tris(ethylamino)silan) sein. Die organischen Verbindungen BTBAS, BDEAS, TIPAS, BDEAES und TEES weisen die folgende strukturelle chemische Formel auf:
    Figure DE102017117819A1_0002
  • Des Weiteren kann der Arbeitsvorgang 14 einen Atomlagenabscheidungs-(ALD)-Prozess verwenden, um die dielektrische Schicht 132 zu bilden. Der ALD-Prozess verwendet Sauerstoff und eine organische Verbindung mit Silizium und Stickstoff als Vorläufer und wird bei einer Temperatur unter dem Schmelzpunkt der Metallelemente in der Fläche 130 ausgeführt. Die organische Verbindung kann eine von BTBAS, BDEAS, TIPAS, BDEAES, TEES und anderen geeigneten organischen Verbindungen sein.
  • Bei Arbeitsvorgang 16 bildet das Verfahren 10 (1) ein oder mehrere leitfähigen Elemente über der dielektrischen Schicht 132. Unter Bezugnahme auf 2C können die leitfähigen Elemente einen S/D-Kontakt 144 oder einen Gatekontakt (nicht gezeigt) umfassen, der die dielektrische Schicht 132 durchdringt. Zusätzlich umfassen die leitfähigen Elemente Metalldurchkontaktierungen 154 und Metalldrähte 156, die über der dielektrischen Schicht 132 abgeschieden sind. Bei diesen Ausführungsformen isoliert die dielektrische Schicht 132 das eine oder die mehreren leitfähigen Elemente gegenüber den Metallgates 108 elektrisch außer, wenn ein Gatekontakt absichtlich mit den Metallgates 108 verbunden ist. Mehr Details des Arbeitsvorgangs 16 werden nachfolgend beschrieben.
  • Bei einer Ausführungsform umfasst der Prozess des Bildens des S/D-Kontakts 144 das Bilden eines Kontaktlochs durch die dielektrische Schicht 132, die ILD-Schicht 120 und die CES-Schicht 118, wodurch das Silizidmerkmal 106 freigelegt wird. Das Kontaktloch kann unter Verwendung eines Fotolithographieprozesses und eines Ätzprozesses gebildet werden. Anschließend wird eine Sperrschicht 142 auf Seitenwänden des Kontaktlochs abgeschieden und der S/D-Kontakt 144 wird im Kontaktloch über der Sperrschicht 142 abgeschieden. Der S/D-Kontakt 144 kann ein Metall wie Aluminium (Al), Wolfram (W), Kupfer (Cu), Cobalt (Co), Kombinationen davon oder ein anderes geeignetes Metall verwenden; und kann unter Verwendung eines geeigneten Prozesses, wie CVD, PVD, Plattieren und/oder anderen geeigneten Prozessen abgeschieden werden. Es kann ein CMP-Prozess ausgeführt werden, um die obere Fläche der Vorrichtung 100 zu planarisieren, nachdem der S/D-Kontakt 144 abgeschieden wurde. Bei dieser Ausführungsform verhindern die dielektrische Schicht 132 und die Sperrschicht 142 gemeinsam Metall-Kriechstromverlust zwischen dem S/D-Kontakt 144 und den Metallelementen der Metallgates 108.
  • Bei einer Ausführungsform umfasst der Prozess des Bildens der Metalldurchkontaktierungen 154 und der Metalldrähte 156 das Abscheiden von der einen oder den mehreren Dielektrikumschichten 150 über der dielektrischen Schicht 132. Die eine oder mehreren Dielektrikumschichten 150 können Low-k-Dielektrikum bzw. -Dielektrika, extremes Low-k-Dielektrikum bzw. -Dielektrika, stickstofffreies antireflektierendes Material bzw. Materialien und andere geeignete Dielektrika umfassen. Dann wird ein einzelner Damascene- oder Dual-Damascene-Prozess verwendet, um die Metalldurchkontaktierungen 154 und die Metalldrähte 156 zu bilden, die in den Dielektrikumschichten 150 eingebettet werden. Bei einem Beispiel werden Durchgangslöcher und Drahtgräben in den Dielektrikumschichten 150 durch einen oder mehrere Fotolithographieprozesse und Ätzprozesse gebildet. Eine Metallsperrschicht 152 wie TiN wird auf Seitenwänden der Durchgangslöcher und der Drahtgräben gebildet. Anschließend wird ein Metall wie Aluminium (Al), Wolfram (W), Kupfer (Cu), Cobalt (Co), Kombinationen davon oder ein anderes geeignetes Metall in die Durchgangslöcher und die Drahtgräben über der Sperrschicht 152 abgeschieden, wodurch die Metalldurchkontaktierungen 154 und die Metalldrähte 156 gebildet werden. Es kann ein CMP-Prozess ausgeführt werden, um das Metallmaterial außerhalb der Drahtgräben zu entfernen. Die dielektrische Schicht 132 isoliert die Metalldurchkontaktierungen 154 von der Metallfüllschicht 114 der Metallgates 108 effektiv.
  • Bei Arbeitsvorgang 18 führt das Verfahren 10 weitere Arbeitsvorgänge aus, um die Herstellung der Vorrichtung 100 abzuschließen. Das Verfahren 10 kann beispielsweise zusätzliche Schichten einer Kopplungsstruktur über den Metalldrähten 156 bilden.
  • Die 3A, 3B und 3C veranschaulichen eine weitere Ausführungsform von Halbleitervorrichtungen, die von der verbesserten dielektrischen Schicht der vorliegenden Offenbarung profitieren. Unter Bezugnahme auf 3A ist ein 3D-Stapel-BSI-Bildsensor 300 gemäß einer Ausführungsform gezeigt. Der Bildsensor 300 umfasst ein erstes Substrat (z. B. einen Halbleiterwafer) 302 und ein zweites Substrat 352 (z. B. einen weiteren Halbleiterwafer), die durch Waferebenenbonden aneinander gebondet sind. Jedes der Substrate 302 und 352 kann einen Elementhalbleiter wie Silizium oder Germanium umfassen; einen Verbindungshalbleiter wie Siliziumkarbid, Galliumarsenid, Indiumarsenid und Indiumphosphid; oder einen Legierungshalbleiter, wie Siliziumgermaniumcarbid, Galliumarsenphosphid und Galliumindiumphosphid. Das erste Substrat 302 weist eine erste (vordere) Seite 304 und eine zweite (hintere) Seite 306 auf. Das zweite Substrat 352 weist eine erste (vordere) Seite 354 und eine zweite (hintere) Seite 356 auf. Die zwei vorderen Seiten 304 und 354 werden unter Verwendung eines Metallbondens, eines direkten Bondens, eines Hybrid-Bondens oder anderer Bondingverfahren aneinander gebondet. Das Substrat 302 umfasst Metalldrähte 310 in einem ersten Abschnitt 308. In einem zweiten Abschnitt 309 umfasst das Substrat 302 lichtempfindliche Elemente (z. B. Fotodioden) 312, die voneinander durch tiefe Grabenisolation-(DTI)-Merkmale 318 isoliert sind. Der Bildsensor 300 umfasst weiter Farbfilter 314 und Mikrolinsen 316, die über der Rückseite 306 angeordnet sind. Auf den Bildsensor 300 einfallende Strahlung bildet in den lichtempfindlichen Elementen 312 Bilder. Die Isolierung durch die DTI-Merkmale 318 verbessert die Empfindlichkeit und die Auflösung des Bildsensors 300. Die verbesserte dielektrische Schicht der vorliegenden Offenbarung kann als eine Auskleidungsschicht in den DTI-Merkmalen 318 verwendet werden.
  • Unter weiterer Bezugnahme auf 3A umfasst das Substrat 352 Metalldrähte 360 in einem ersten Abschnitt 358. In einem zweiten Abschnitt 359 kann das Substrat 352 auch lichtempfindliche Elemente (nicht gezeigt) umfassen, um beispielsweise den Bildsensor 300 zu einem Dualrichtungsbildsensor zu machen. Der Bildsensor 300 umfasst weiter die leitfähigen Elemente 320 und Siliziumdurchkontaktierungen (TSVS) 322, welche die Metalldrähte 310 und 360 zum Integrieren der Funktionalitäten der Substrate 302 und 352 verbinden. Die verbesserte dielektrische Schicht der vorliegenden Offenbarung kann als eine Auskleidungsschicht in den TSVs 322 verwendet werden. Bei einer weiteren Ausführungsform können die Substrate 302 und 352 unter Verwendung von Metalldirektbonden an der Schnittstelle von 304/354 anstatt unter Verwendung von TSVs 322 miteinander verbunden werden.
  • Unter Bezugnahme auf 3B ist gemäß einer Ausführungsform eine vergrößerte schematische Ansicht des Bildsensors 300 gezeigt, die eine detailliertere Ansicht des DTI 318 zeigt. Die DTI 318 umfasst mehrfache Schichten, die im Substrat 302 eingebettet sind. Beispielsweise umfasst die DTI 318 eine Haftschicht 318a an der Unterseite und den Seitenwänden eines tiefen Grabens, der in das Substrat 302 geätzt ist, eine oder mehrere Anreicherungsschichten mit negativer Ladung 318b über der Haftschicht 318a, eine verbesserte Dielektrikumschicht 318c über den Schichten 318b, eine Metallsperrschicht 318d (z. B. TiN) über der Schicht 318c und eine Metallschicht 318e über der Metallsperrschicht 318d. Bei einer Ausführungsform umfasst die Schicht 318b ein Metalloxid wie Tantalpentoxid (Ta2O5) und die Metallschicht 318e umfasst W, Al, Cu, Co oder andere geeignete Metalle. Um diese Ausführungsform weiter auszuführen, wird die verbesserte Dielektrikumschicht 318c über der Schicht 318b unter Verwendung eines CVD- oder ALD-Verfahrens abgeschieden, wobei Sauerstoff und eine organische Verbindung mit Silizium und Stickstoff Vorläufer sind. Die organische Verbindung kann eine von BTBAS, BDEAS, TIPAS, BDEAES, TEES und anderen geeigneten organischen Verbindungen sein. Die verbesserte Dielektrikumschicht 318c enthält Si, N, C und O ohne eine Si-Metalllegierung darin. Des Weiteren enthält die Schicht 318c höhere Konzentrationen von N und/oder C in einem unteren Abschnitt davon als in einem oberen Abschnitt davon, wie vorstehend beschrieben in Bezug auf die dielektrische Schicht 132. Wie hierin verwendet, bezeichnet der untere Abschnitt einen Abschnitt der Dielektrikumschicht 318c, der sich nahe der Schicht 318b befindet, während der obere Abschnitt einen anderen Abschnitt der Dielektrikumschicht 318c bezeichnet, der sich von der Schicht 318b entfernt befindet. Die Dielektrikumschicht 318c isoliert die Metallelemente in den Schichten 318d und 318e gegenüber den Metallelementen in der Schicht 318b effektiv.
  • Unter Bezugnahme auf 3C ist eine vergrößerte schematische Ansicht des Bildsensors 300 gezeigt, die gemäß einer Ausführungsform eine detailliertere Ansicht der TSV 322 zeigt. Die TSV 322 kontaktiert das leitfähige Element 320, das in einer Dielektrikumschicht 324 eingebettet ist, elektrisch. Die TSV 322 umfasst mehrfache Schichten, die in den Substraten 302/352 eingebettet sind. Beispielsweise umfasst die TSV 322 eine erste Dielektrikumschicht 322a, die auf mindestens Seitenwänden eines Grabens, der in die Substrate 302 und 352 geätzt ist, abgeschieden ist, eine Metallsperrschicht 322b über der ersten Dielektrikumschicht 322a und eine Metallschicht 322c über der Metallsperrschicht 322b. Die Metallsperrschicht 322b kann bei einer Ausführungsform TiN enthalten. Die Metallschicht 322c kann W, Al, Cu, Co oder andere geeignete Metalle enthalten. Bei einer Ausführungsform umfasst der Bildsensor 300 eine Metalloxidschicht (nicht gezeigt) zwischen der ersten Dielektrikumschicht 322a und den Substraten 302/352. Die erste Dielektrikumschicht 322a wird unter Verwendung eines CVD- oder ALD-Verfahrens abgeschieden, wobei Sauerstoff und eine organische Verbindung mit Silizium und Stickstoff Vorläufer sind. Die organische Verbindung kann eine von BTBAS, BDEAS, TIPAS, BDEAES, TEES und anderen geeigneten organischen Verbindungen sein. Die verbesserte Dielektrikumschicht 322a enthält Si, N, C und O ohne eine Si-Metalllegierung darin. Des Weiteren enthält die Schicht 322a höhere Konzentrationen von N und/oder C in einem unteren Abschnitt davon als in einem oberen Abschnitt davon, wie vorstehend beschrieben in Bezug auf die dielektrischen Schichten 132 und 318c. Die erste Dielektrikumschicht 322a isoliert die Metallelemente in den Schichten 322b und 322c gegenüber den Substraten 302/352 sowie gegenüber jeder Metalloxidschicht unter der ersten Dielektrikumschicht 322a effektiv.
  • Obwohl sie nicht begrenzen sollen, stellen eine oder mehrere Ausführungsformen der vorliegenden Offenbarung viele Vorteile an die Halbleiterherstellung bereit. Beispielsweise stellt eine verbesserte dielektrische Schicht gemäß der vorliegenden Offenbarung eine effektive elektrische Isolierung zwischen Metallelementen wie Metallgates und Metalldurchkontaktierungen bereit. Die Verfahren des Abscheidens der verbesserten dielektrischen Schicht erzeugen keine Siliziummetalllegierung, was Metall-Kriechstromverlust und Metalldiffusion effektiv verhindert. Da High-k-Metallgates bei der verbesserten Halbleiterherstellung populär werden, stellt diese verbesserte dielektrische Schicht eine effektive Lösung für das Problem von Metallgatekurzschlussfehlern und Metalldiffusion durch dünne dielektrische Schichten bereit. Weiter können die bereitgestellten Verfahren leicht in vorhandene Halbleiterprozessabläufe integriert werden.
  • Bei einem beispielhaftem Aspekt ist die vorliegende Offenbarung auf ein Verfahren zur Halbleiterherstellung gerichtet. Das Verfahren umfasst das Empfangen einer Vorrichtung mit einer ersten Fläche, durch die ein erstes Metall oder ein Oxid des ersten Metalls freigelegt wird. Das Verfahren umfasst weiter das Abscheiden einer dielektrischen Schicht mit Si, N, C und O über der ersten Fläche, sodass die dielektrische Schicht eine höhere Konzentration von N und C in einem ersten Abschnitt der dielektrischen Schicht nahe der ersten Fläche aufweist als in einem zweiten Abschnitt der dielektrischen Schicht, der von der ersten Fläche weiter entfernt ist als der erste Abschnitt. Das Verfahren umfasst weiter das Bilden eines leitfähigen Elements über der dielektrischen Schicht.
  • Bei einem weiteren beispielhaften Aspekt ist die vorliegende Offenbarung auf ein Verfahren zur Halbleiterherstellung gerichtet. Das Verfahren umfasst das Empfangen einer Vorrichtung mit einer ersten Fläche, durch die ein Halbleitermaterial oder ein erstes Metall der Vorrichtung freigelegt wird. Das Verfahren umfasst weiter das Abscheiden einer dielektrischen Schicht mit Si, N, C und O über der ersten Fläche durch einen LT CVD-Prozess, sodass die dielektrische Schicht eine höhere Konzentration von C und N in einem ersten Abschnitt der dielektrischen Schicht nahe der ersten Fläche aufweist als in einem zweiten Abschnitt der dielektrischen Schicht entfernt von der ersten Fläche. Das Verfahren umfasst weiter das Abscheiden eines zweiten Metalls über die dielektrische Schicht.
  • Bei noch einem weiteren beispielhaften Aspekt ist die vorliegende Offenbarung auf eine Halbleitervorrichtung gerichtet. Die Halbleitervorrichtung umfasst eine erste Schicht mit einer ersten Fläche, durch die ein erstes Metall oder ein Oxid des ersten Metalls freigelegt wird. Die Halbleitervorrichtung umfasst weiter eine dielektrische Schicht direkt über der ersten Fläche, wobei die dielektrische Schicht Si, N, C und O umfasst und eine höhere Konzentration von C und N in einem ersten Abschnitt der dielektrischen Schicht nahe der ersten Fläche aufweist als in einem zweiten Abschnitt der dielektrischen Schicht, der von der ersten Fläche weiter entfernt ist als der erste Abschnitt. Die Halbleitervorrichtung umfasst weiter ein leitfähiges Element über der dielektrischen Schicht.
  • Bei einer Ausführungsform der Halbleitervorrichtung ist die Konzentration von C im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher als die im zweiten Abschnitt der dielektrischen Schicht. Bei einer weiteren Ausführungsform der Halbleitervorrichtung ist die Konzentration von N im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher als die im zweiten Abschnitt der dielektrischen Schicht. Bei noch einer weiteren Ausführungsform der Halbleitervorrichtung ist jede der Konzentrationen von C und N im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher als die im zweiten Abschnitt der dielektrischen Schicht.
  • Das vorhergehende beschreibt Merkmale von mehreren Ausführungsformen, sodass der Fachmann die Aspekte der vorlegenden Offenbarung besser verstehen kann. Dem Fachmann sollte offensichtlich sein, dass er ohne Weiteres die vorliegende Offenbarung als eine Basis verwenden kann, um andere Prozesse und Strukturen zu konzipieren oder zu modifizieren, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte auch realisieren, dass solche äquivalenten Aufbauten nicht vom Sinn und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hierin vornehmen kann, ohne vom Sinn und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren zur Halbleiterherstellung, umfassend: Empfangen einer Vorrichtung mit einer ersten Fläche, durch die ein erstes Metall oder ein Oxid des ersten Metalls freigelegt ist; Abscheiden einer dielektrische Schicht mit Si, N, C und O über der ersten Fläche, sodass die dielektrische Schicht eine höhere Konzentration von N und C in einem ersten Abschnitt der dielektrischen Schicht nahe der ersten Fläche aufweist als in einem zweiten Abschnitt der dielektrischen Schicht, der von der ersten Fläche weiter entfernt ist als der erste Abschnitt; und Bilden eines leitfähigen Elements über der dielektrischen Schicht.
  2. Verfahren nach Anspruch 1, wobei das Abscheiden der dielektrischen Schicht durch einen LT CVD-(chemische Gasphasenabscheidung bei niedriger Temperatur)-Prozess erfolgt, der Sauerstoff und eine organische Verbindung als Vorläufer verwendet, wobei die organische Verbindung Silizium und Stickstoff aufweist.
  3. Verfahren nach Anspruch 2, wobei die organische Verbindung BTBAS (Bis(tertiärbutylamino)silan) ist.
  4. Verfahren nach Anspruch 2, wobei die organische Verbindung BDEAS (Bis(diethylamino)silan) ist.
  5. Verfahren nach Anspruch 2, wobei die organische Verbindung eine von TIPAS (Tris(isopropylamino)silan) ist.
  6. Verfahren nach Anspruch 2, wobei die organische Verbindung BDEAES (Bis(diethylamino)ethylsilan) oder TEAS (Tris(ethylamino)silan) ist.
  7. Verfahren nach einem der Ansprüche 2 bis 5, wobei der LT CVD-Prozess bei einer Temperatur im Bereich von 300°C bis 400°C ausgeführt wird.
  8. Verfahren nach einem der vorstehenden Ansprüche, wobei das erste Metall Al oder Cu ist.
  9. Verfahren nach einem der vorstehenden Ansprüche, wobei das Abscheiden der dielektrischen Schicht durch einen Atomlagenabscheidungs-(ALD)-Prozess erfolgt, der Sauerstoff und eine organische Verbindung mit Silizium und Stickstoff als Vorläufer verwendet.
  10. Verfahren nach einem der vorstehenden Ansprüche, wobei die Konzentration von C im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher ist als die im zweiten Abschnitt der dielektrischen Schicht.
  11. Verfahren nach einem der vorstehenden Ansprüche, wobei die Konzentration von N im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher ist als die im zweiten Abschnitt der dielektrischen Schicht.
  12. Verfahren nach einem der vorstehenden Ansprüche, wobei die erste Fläche Unterseite und Seitenwände eines Grabens darstellt, die dielektrische Schicht teilweise den Graben füllt und das leitfähige Element auch in den Graben abgeschieden wird.
  13. Verfahren zur Halbleiterherstellung, umfassend: Empfangen einer Vorrichtung mit einer ersten Fläche, durch die ein Halbleitermaterial oder ein erstes Metall der Vorrichtung freigelegt ist; Abscheiden einer dielektrischen Schicht mit Si, N, C und O über der ersten Fläche durch einen LT CVD-Prozess, sodass die dielektrische Schicht eine höhere Konzentration von C und N in einem ersten Abschnitt der dielektrischen Schicht nahe der ersten Fläche aufweist als in einem zweiten Abschnitt der dielektrischen Schicht entfernt von der ersten Fläche; und Abscheiden eines zweiten Metalls über der dielektrischen Schicht.
  14. Verfahren nach Anspruch 13, wobei der LT CVD-Prozess Sauerstoff und eines von BTBAS (Bis(tertiärbutylamino)silan), TIPAS (Tris(isopropylamino)silan) und BDEAS (Bis(diethylamino)silan) als Vorläufer verwendet.
  15. Verfahren nach Anspruch 13, wobei der LT CVD-Prozess Sauerstoff und eines von BDEAES (Bis(diethylamino)ethylsilan) und TEAS (Tris(ethylamino)silan) als Vorläufer verwendet.
  16. Verfahren nach einem der Ansprüche 13 bis 15, wobei jede der Konzentrationen von C und N im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher ist als die im zweiten Abschnitt der dielektrischen Schicht.
  17. Halbleitervorrichtung, umfassend: eine erste Schicht mit einer ersten Fläche, durch die ein erstes Metall oder ein Oxid des ersten Metalls freigelegt ist; eine dielektrische Schicht direkt über der ersten Fläche, wobei die dielektrische Schicht Si, N, C und O umfasst und eine höhere Konzentration von C und N in einem ersten Abschnitt der dielektrischen Schicht nahe der ersten Fläche aufweist als in einem zweiten Abschnitt der dielektrischen Schicht, der von der ersten Fläche weiter entfernt ist als der erste Abschnitt; und ein leitfähiges Element über der dielektrischen Schicht.
  18. Halbleitervorrichtung nach Anspruch 17, wobei die Konzentration von C im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher ist als die im zweiten Abschnitt der dielektrischen Schicht.
  19. Halbleitervorrichtung nach Anspruch 17 oder 18, wobei die Konzentration von N im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher ist als die im zweiten Abschnitt der dielektrischen Schicht.
  20. Halbleitervorrichtung nach Anspruch 17, wobei jede der Konzentrationen von C und N im ersten Abschnitt der dielektrischen Schicht mindestens 10 Mal höher ist als die im zweiten Abschnitt der dielektrischen Schicht.
DE102017117819.8A 2016-09-30 2017-08-07 Eine verbesserte dielektrische schicht für die halbleiterherstellung Pending DE102017117819A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/282,258 US10658296B2 (en) 2016-09-30 2016-09-30 Dielectric film for semiconductor fabrication
US15/282,258 2016-09-30

Publications (1)

Publication Number Publication Date
DE102017117819A1 true DE102017117819A1 (de) 2018-04-05

Family

ID=61023151

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017117819.8A Pending DE102017117819A1 (de) 2016-09-30 2017-08-07 Eine verbesserte dielektrische schicht für die halbleiterherstellung

Country Status (5)

Country Link
US (4) US10658296B2 (de)
KR (1) KR102101744B1 (de)
CN (1) CN107887254B (de)
DE (1) DE102017117819A1 (de)
TW (1) TWI605541B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11804415B2 (en) 2018-09-07 2023-10-31 Infineon Technologies Ag Semiconductor device with first and second portions that include silicon and nitrogen

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3576151A4 (de) 2017-01-24 2020-06-03 Sony Semiconductor Solutions Corporation Halbleiterbauelement, verfahren zur herstellung davon, festkörperbildaufnahmeelement und elektronische vorrichtung
CN108470711B (zh) * 2018-02-12 2020-10-02 上海集成电路研发中心有限公司 图像传感器的深沟槽和硅通孔的制程方法
US11846738B2 (en) * 2019-04-23 2023-12-19 Cerium Laboratories Llc Radiation detection systems and methods
WO2021114202A1 (zh) * 2019-12-13 2021-06-17 西安电子科技大学 半导体器件封装结构及其制备方法
US11823989B2 (en) * 2020-07-17 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-liner TSV structure and method forming same
US20220310678A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High reflectance isolation structure to increase image sensor performance
US20220344383A1 (en) * 2021-04-27 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Backside structure for image sensor
US20230138963A1 (en) * 2021-10-29 2023-05-04 Nanya Technology Corporation Semiconductor device structure
EP4261871A1 (de) * 2022-04-11 2023-10-18 STMicroelectronics Crolles 2 SAS Integrierte schaltung mit metallsäulen in kontakt mit einem siliziumbereich auf einem ohmschen kopplungsbereich und herstellungsverfahren dafür

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4086673B2 (ja) * 2003-02-04 2008-05-14 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US8358011B1 (en) * 2007-09-07 2013-01-22 International Business Machines Corporation Interconnect structures with engineered dielectrics with nanocolumnar porosity
US7144825B2 (en) * 2003-10-16 2006-12-05 Freescale Semiconductor, Inc. Multi-layer dielectric containing diffusion barrier material
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7667275B2 (en) * 2004-09-11 2010-02-23 Texas Instruments Incorporated Using oxynitride spacer to reduce parasitic capacitance in CMOS devices
US7964422B1 (en) * 2005-11-01 2011-06-21 Nvidia Corporation Method and system for controlling a semiconductor fabrication process
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7816256B2 (en) * 2006-07-17 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving the reliability of interconnect structures and resulting structure
CN101393862B (zh) * 2007-09-20 2011-03-23 中芯国际集成电路制造(上海)有限公司 栅极侧壁层的制造方法及半导体器件的制造方法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8193586B2 (en) 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
JP2010182822A (ja) 2009-02-04 2010-08-19 Renesas Electronics Corp 半導体装置およびその製造方法
JP2010206056A (ja) * 2009-03-05 2010-09-16 Renesas Electronics Corp 半導体集積回路装置の製造方法
JP5247619B2 (ja) 2009-07-28 2013-07-24 キヤノンアネルバ株式会社 誘電体膜、誘電体膜を用いた半導体装置の製造方法及び半導体製造装置
CN102239545A (zh) 2009-09-17 2011-11-09 东京毅力科创株式会社 成膜方法、半导体元件的制造方法、绝缘膜以及半导体元件
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8390089B2 (en) 2010-07-27 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with deep trench isolation structure
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5839804B2 (ja) 2011-01-25 2016-01-06 国立大学法人東北大学 半導体装置の製造方法、および半導体装置
US9029260B2 (en) 2011-06-16 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling method for dual damascene process
US8803322B2 (en) 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
US9190316B2 (en) * 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
US8779600B2 (en) * 2012-01-05 2014-07-15 International Business Machines Corporation Interlevel dielectric stack for interconnect structures
US8779592B2 (en) * 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US8946095B2 (en) 2012-10-25 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interlayer dielectric film above metal gate of semiconductor device
US20140252521A1 (en) 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Image Sensor with Improved Dark Current Performance
US20140273516A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Vbd and tddb improvement thru interface engineering
US9224811B2 (en) * 2014-03-17 2015-12-29 Globalfoundries Inc Stacked semiconductor device
CN105097657B (zh) * 2014-05-09 2018-06-29 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN104409419B (zh) 2014-11-17 2018-01-02 上海集成电路研发中心有限公司 一种空气侧墙的制作方法
US9312224B1 (en) * 2014-12-11 2016-04-12 International Business Machines Corporation Interconnect structure containing a porous low k interconnect dielectric/dielectric cap
CN104465506B (zh) 2014-12-24 2018-01-26 上海集成电路研发中心有限公司 铜互连中空气隙的形成方法
US9536826B1 (en) * 2015-06-15 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure with interconnect structure
US10304850B2 (en) * 2015-09-08 2019-05-28 Toshiba Memory Corporation Semiconductor memory device
KR102500813B1 (ko) * 2015-09-24 2023-02-17 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9711456B2 (en) * 2015-12-19 2017-07-18 International Business Machines Corporation Composite manganese nitride/low-K dielectric cap
US9917121B2 (en) * 2016-03-24 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. BSI image sensor and method of forming same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11804415B2 (en) 2018-09-07 2023-10-31 Infineon Technologies Ag Semiconductor device with first and second portions that include silicon and nitrogen

Also Published As

Publication number Publication date
US20200083168A1 (en) 2020-03-12
TW201814831A (zh) 2018-04-16
KR102101744B1 (ko) 2020-04-21
US20180096936A1 (en) 2018-04-05
US20180337128A1 (en) 2018-11-22
US11296027B2 (en) 2022-04-05
US11152306B2 (en) 2021-10-19
TWI605541B (zh) 2017-11-11
CN107887254A (zh) 2018-04-06
US20220223528A1 (en) 2022-07-14
US11901295B2 (en) 2024-02-13
US10658296B2 (en) 2020-05-19
KR20180036549A (ko) 2018-04-09
CN107887254B (zh) 2021-08-03

Similar Documents

Publication Publication Date Title
DE102017117819A1 (de) Eine verbesserte dielektrische schicht für die halbleiterherstellung
DE102017111545B4 (de) Implantationen zur herstellung von source-/drain-bereichen für verschiedene transistoren
DE102019116730B4 (de) Teilweise barrierefreie Durchkontaktierungen für kobaltbasierte Verbindungen und Verfahren zu deren Herstellung
DE102018104654B4 (de) Doppelte metalldurchkontaktierung für übergangswiderstand
DE102016106969B4 (de) Halbleitervorrichtung
DE102015109820B4 (de) Metallgate-Schema für Bauelement und Verfahren zum Ausbilden
DE102019206143B4 (de) Verfahren zum erhöhen der effektiven gatehöhe
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017126416A1 (de) FET mit negativer Kapazität mit verbessertem Zuverlässigkeitsverhalten
DE102016119018A1 (de) Zwischenverbindungsaufbau und -verfahren
DE102017120565B4 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102016114705A1 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102020134989A1 (de) Halbleiterstruktur mit speichervorrichtung und verfahren zu deren herstellung
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102019116328A1 (de) Halbleiterbauelement und verfahren
DE102020129257B4 (de) Abstandhalter für Halbleitervorrichtungen, die rückseitige Stromschienen aufweisen
DE102020129746A1 (de) Source/drain-kontakte und verfahren zu ihrer bildung
DE102013104368A1 (de) Verfahren für die Ausbildung einer Verbindungsstruktur
DE102020130171B4 (de) Abstandselemente für Halbleitervorrichtungen, welche rückseitige Stromschienen aufweisen
DE102020122823B4 (de) Halbleitervorrichtungen mit entkopplungskondensatoren
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102020134570A1 (de) Halbleitervorrichtung und -verfahren
DE102014119644A1 (de) Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R016 Response to examination communication