DE102014117966A1 - Träger und Verfahren zur Verarbeitung eines Trägers - Google Patents

Träger und Verfahren zur Verarbeitung eines Trägers Download PDF

Info

Publication number
DE102014117966A1
DE102014117966A1 DE102014117966.8A DE102014117966A DE102014117966A1 DE 102014117966 A1 DE102014117966 A1 DE 102014117966A1 DE 102014117966 A DE102014117966 A DE 102014117966A DE 102014117966 A1 DE102014117966 A1 DE 102014117966A1
Authority
DE
Germany
Prior art keywords
carrier
hollow chamber
area
various embodiments
openings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102014117966.8A
Other languages
English (en)
Other versions
DE102014117966B4 (de
Inventor
Steffen Bieselt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies Dresden GmbH and Co KG
Original Assignee
Infineon Technologies Dresden GmbH and Co KG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Dresden GmbH and Co KG filed Critical Infineon Technologies Dresden GmbH and Co KG
Publication of DE102014117966A1 publication Critical patent/DE102014117966A1/de
Application granted granted Critical
Publication of DE102014117966B4 publication Critical patent/DE102014117966B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • H01L23/053Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Recrystallisation Techniques (AREA)
  • Element Separation (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Micromachines (AREA)

Abstract

Gemäß verschiedenen Ausführungsformen kann ein Träger (100) Folgendes aufweisen: eine Hohlkammer (104), die von einer Fläche (102s) des Trägers (100) beabstandet ist, und wenigstens eine Tragstruktur (108) innerhalb der Hohlkammer (104), welche ein erstes Gebiet (102a) des Trägers (100), das über der Hohlkammer (104) angeordnet ist, mit einem zweiten Gebiet (102b) des Trägers (100), das unter der Hohlkammer (104) angeordnet ist, verbindet, wobei zumindest ein Teil einer Fläche (108w) der wenigstens einen Tragstruktur (108) von einer Innenfläche (104w) der Hohlkammer (104) beabstandet ist und wobei die wenigstens eine Tragstruktur (108) ein elektrisch isolierendes Material aufweist.

Description

  • Es gibt im Allgemeinen eine Vielzahl von Anwendungen in der Mikroelektronik, in Mikrosystemen, auf dem biomedizinischen Gebiet und auf anderen Gebieten für dünne Chips oder ultradünne Chips, die beispielsweise auf einem Träger mit einer Dicke im Bereich von etwa einigen zehn Mikrometern gebildet werden. Ferner können verschiedene Prozesse zum Bereitstellen eines elektrisch isolierten Gebiets in einem Träger verwendet werden. Üblicherweise verwendete Prozesse können beispielsweise das Bilden einer so genannten Silicium-auf-Isolator-(SOI)-Struktur oder einer Silicium-auf-nichts-(SON)-Struktur ermöglichen, wobei ein dünnes Siliciumgebiet vom Rest des Trägers elektrisch isoliert werden kann. Die Silicium-auf-Isolator-Technologie kann beispielsweise das Bilden einer vergrabenen Oxidschicht innerhalb eines Trägers und dadurch das Bereitstellen eines elektrisch isolierten dünnen Siliciumgebiets über der vergrabenen Oxidschicht aufweisen. Eine Silicium-auf-nichts-Struktur kann durch Anwenden einer so genannten Leerraum-in-Silicium-Technik bereitgestellt werden. Das Anwenden üblicherweise verwendeter Prozesse zur Herstellung eines elektrisch isolierten Trägergebiets kann jedoch beispielsweise erstens hohe Kosten für das Bereitstellen der spezifischen Strukturen im Träger mit sich bringen, und zweitens können die komplexen Prozesse für Fehler anfällig sein, die beispielsweise zu Defektstrukturen führen.
  • Gemäß verschiedenen Ausführungsformen kann ein Träger Folgendes aufweisen: eine Hohlkammer, die von einer Fläche des Trägers beabstandet ist, und wenigstens eine Tragstruktur innerhalb der Hohlkammer, welche ein erstes Gebiet des Trägers, das über der Hohlkammer angeordnet ist, mit einem zweiten Gebiet des Trägers, das unter der Hohlkammer angeordnet ist, verbindet, wobei zumindest ein Teil einer Fläche der wenigstens einen Tragstruktur von einer Innenfläche der Hohlkammer beabstandet ist und wobei die wenigstens eine Tragstruktur ein elektrisch isolierendes Material aufweist. Gemäß verschiedenen Ausführungsformen kann ein Träger Folgendes aufweisen: einen Hohlraum (eine Hohlkammer), welches sich lateral innerhalb des Trägers erstreckt, wodurch ein erstes Gebiet des Trägers bereitgestellt ist, das vertikal vom Träger getrennt ist, und eine Tragstruktur, welche sich vertikal innerhalb des Hohlraums erstreckt und das erste Gebiet des Trägers mit dem darunter liegenden Träger verbindet, wobei zumindest ein Teil einer Fläche der Tragstruktur von einer Innenfläche der Hohlkammer beabstandet ist und wobei die Tragstruktur ein elektrisch isolierendes Oxid aufweist. Gemäß verschiedenen Ausführungsformen kann ein Verfahren zur Herstellung eines Trägers Folgendes aufweisen: Bilden einer Tragstruktur in einem Träger, Bilden einer Aussparungsstruktur im Träger, wobei die Aussparungsstruktur die Tragstruktur umgibt, und Ausführen eines Temperprozesses, so dass ein Hohlraum innerhalb des Trägers aus der Aussparungsstruktur gebildet wird, wobei der Hohlraum ein Kappengebiet oberhalb des Hohlraums vom restlichen Träger unterhalb des Hohlraums trennt, wobei die Tragstruktur innerhalb des Hohlraums bleibt, wodurch der restliche Träger mit dem ersten Gebiet verbunden wird.
  • Gemäß verschiedenen Ausführungsformen kann ein Verfahren zur Herstellung eines Trägers Folgendes aufweisen: Bilden einer ersten Aussparungsstruktur mit einer ersten Tiefe im Träger, zumindest teilweises Füllen der ersten Aussparungsstruktur mit einem elektrisch isolierenden Oxid unter Bildung einer Tragstruktur, Bilden einer zweiten Aussparungsstruktur mit einer zweiten Tiefe im Träger, wobei die zweite Aussparungsstruktur die Tragstruktur umgibt, wobei die zweite Tiefe der zweiten Aussparungsstruktur kleiner ist als die erste Tiefe der ersten Aussparungsstruktur, Ausführen eines Temperprozesses, so dass ein Hohlraum innerhalb des Trägers aus der zweiten Aussparungsstruktur gebildet wird, wobei der Hohlraum ein erstes Gebiet oberhalb des Hohlraums vom restlichen Träger unterhalb des Hohlraums trennt, wobei die Tragstruktur innerhalb des Hohlraums bleibt, wodurch der restliche Träger mit dem ersten Gebiet verbunden wird.
  • Gemäß verschiedenen Ausführungsformen kann ein Träger Folgendes aufweisen: einen Hohlraum (eine Hohlkammer), die von einer Fläche des Trägers beabstandet ist, wenigstens eine Tragstruktur innerhalb des Hohlraums, welche das erste Gebiet des Trägers, das über dem Hohlraum angeordnet ist, mit einem zweiten Gebiet des Trägers, das unter dem Hohlraum angeordnet ist, verbindet, wobei zumindest ein Teil einer Fläche der wenigstens einen Tragstruktur von einer Innenfläche der Hohlkammer getrennt ist und wobei die wenigstens eine Tragstruktur ein elektrisch isolierendes Material aufweist. Gemäß verschiedenen Ausführungsformen kann das elektrisch isolierende Material wenigstens ein Material aus der folgenden Gruppe von Materialien aufweisen, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid.
  • Gemäß verschiedenen Ausführungsformen kann das elektrisch isolierende Material wenigstens ein Material aus der folgenden Gruppe von Materialien aufweisen, wobei die Gruppe aus folgenden besteht: einem Oxid, Siliciumoxid, einem Metalloxid, einem Nitrid, Siliciumnitrid, einem Metallnitrid, einem Oxynitrid, Siliciumoxynitrid, einem Metalloxynitrid.
  • Gemäß verschiedenen Ausführungsformen kann die wenigstens eine Tragstruktur ein Kerngebiet und eine Überzugstruktur, welche das Kerngebiet zumindest teilweise lateral umgibt, aufweisen, wobei das Kerngebiet das elektrisch isolierende Material aufweist. Gemäß verschiedenen Ausführungsformen kann die wenigstens eine Tragstruktur ein Kerngebiet und eine Überzugstruktur, welche das Kerngebiet zumindest teilweise lateral umgibt, aufweisen, wobei die Überzugstruktur das elektrisch isolierende Material aufweist.
  • Gemäß verschiedenen Ausführungsformen kann die wenigstens eine Tragstruktur ein Kerngebiet und eine Überzugstruktur, welche das Kerngebiet zumindest teilweise lateral umgibt, aufweisen, wobei die Überzugstruktur ein Nitrid aufweist und das Kerngebiet ein Oxid aufweist.
  • Gemäß verschiedenen Ausführungsformen kann die wenigstens eine Tragstruktur wenigstens eine Säule, beispielsweise wenigstens eine Oxidsäule, aufweisen oder als eine solche ausgelegt sein.
  • Gemäß verschiedenen Ausführungsformen kann die wenigstens eine Tragstruktur (beispielsweise Säule, beispielsweise Oxidsäule) wenigstens eine von einer zylindrischen Form und einer prismatischen Form aufweisen und sich innerhalb des Hohlraums vom ersten Gebiet zum zweiten Gebiet des Trägers erstrecken.
  • Gemäß verschiedenen Ausführungsformen kann der Träger ferner Folgendes aufweisen: eine Grabenstruktur, die sich von der Oberfläche des Trägers zum Hohlraum erstreckt, wobei die Grabenstruktur zumindest einen Teil des ersten Gebiets des Trägers lateral umgibt.
  • Gemäß verschiedenen Ausführungsformen kann der Träger ferner eine elektronische Schaltung aufweisen, die über und/oder im ersten Gebiet des Trägers angeordnet ist. Gemäß verschiedenen Ausführungsformen kann ein Verfahren zur Verarbeitung eines Trägers Folgendes aufweisen: Bilden einer oder mehrerer erster Öffnungen im Träger, zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial zur Bildung wenigstens einer Tragstruktur, Bilden einer Öffnungsstruktur im Träger, wobei die Öffnungsstruktur die wenigstens eine Tragstruktur zumindest teilweise umgibt, und Ausführen eines Temperprozesses zur Bildung eines Hohlraums und eines den Hohlraum bedeckenden Kappengebiets aus der Öffnungsstruktur, wobei der Hohlraum und das Kappengebiet die wenigstens eine Tragstruktur zumindest teilweise lateral umgeben, wobei das Kappengebiet mit der wenigstens einen Tragstruktur verbunden wird.
  • Gemäß verschiedenen Ausführungsformen kann das Bilden der Öffnungsstruktur das Bilden einer oder mehrerer zweiter Öffnungen aufweisen.
  • Gemäß verschiedenen Ausführungsformen können die eine oder die mehreren ersten Öffnungen eine erste Tiefe aufweisen, wobei die eine oder die mehreren zweiten Öffnungen eine zweite Tiefe aufweisen können, wobei die zweite Tiefe kleiner als die erste Tiefe ist.
  • Gemäß verschiedenen Ausführungsformen kann das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit dem Füllmaterial Folgendes aufweisen: zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit wenigstens einem Material aus der folgenden Gruppe von Materialien, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid.
  • Gemäß verschiedenen Ausführungsformen kann das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit dem Füllmaterial Folgendes aufweisen: zumindest teilweises Bedecken der inneren Seitenwände der einen oder der mehreren ersten Öffnungen mit einem ersten Material, das aus der folgenden Gruppe von Materialien ausgewählt ist, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid, und anschließend zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem zweiten Material.
  • Gemäß verschiedenen Ausführungsformen kann das Verfahren zur Verarbeitung eines Trägers ferner das Bilden einer Materialschicht (beispielsweise einer epitaxialen Siliciumschicht) über dem Kappengebiet aufweisen.
  • Gemäß verschiedenen Ausführungsformen kann das Verfahren zur Verarbeitung eines Trägers ferner das Bilden einer Grabenstruktur, die sich von der Oberfläche des Kappengebiets zum Hohlraum erstreckt, wobei die Grabenstruktur zumindest einen Teil des Kappengebiets lateral umgibt, aufweisen.
  • Gemäß verschiedenen Ausführungsformen kann das Verfahren zur Verarbeitung eines Trägers ferner das Bilden einer elektronischen Schaltung über und/oder im Kappengebiet aufweisen.
  • Gemäß verschiedenen Ausführungsformen kann das Verfahren zur Verarbeitung eines Trägers ferner das räumliche Trennen und/oder Entfernen des Kappengebiets vom Träger, beispielsweise durch Ausführen eines so genannten Pick, Crack & PlaceTM-Prozesses, aufweisen.
  • Gemäß verschiedenen Ausführungsformen kann das Verfahren zur Verarbeitung eines Trägers ferner das räumliche Entfernen des Kappengebiets vom Träger, beispielsweise durch Ausführen eines so genannten Pick, Crack & PlaceTM-Prozesses, aufweisen. Gemäß verschiedenen Ausführungsformen kann das Verfahren zur Verarbeitung eines Trägers ferner das Ablösen des Kappengebiets vom Träger aufweisen.
  • Gemäß verschiedenen Ausführungsformen kann ein Verfahren zur Verarbeitung eines Trägers Folgendes aufweisen: Bilden einer oder mehrerer erster Öffnungen im Träger, zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial zur Bildung wenigstens einer Tragstruktur, Bilden einer porösen Struktur im Träger, wobei die poröse Struktur die wenigstens eine Tragstruktur lateral umgibt, Ausführen eines Temperprozesses zur Bildung eines Hohlraums und eines Kappengebiets, welches den Hohlraum bedeckt, aus der porösen Struktur, wobei der Hohlraum und das Kappengebiet die wenigstens eine Tragstruktur lateral umgeben, wobei das Kappengebiet mit der wenigstens einen Tragstruktur verbunden wird. Gemäß verschiedenen Ausführungsformen kann das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial Folgendes aufweisen: zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit wenigstens einem Material aus der folgenden Gruppe von Materialien, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid.
  • Gemäß verschiedenen Ausführungsformen kann das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial Folgendes aufweisen: zumindest teilweises Bedecken der inneren Seitenwände der einen oder der mehreren ersten Öffnungen mit einem ersten Material, das aus der folgenden Gruppe von Materialien ausgewählt ist, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid, und anschließend zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem zweiten Material.
  • In der Zeichnung bezeichnen gleiche Bezugszahlen im Allgemeinen in den verschiedenen Ansichten die gleichen Teile. Die Zeichnung ist nicht notwendigerweise maßstabsgerecht, wobei der Nachdruck stattdessen vielmehr im Allgemeinen auf das Erläutern der Grundgedanken der Erfindung gelegt wird. In der folgenden Beschreibung werden verschiedene Ausführungsformen der Erfindung mit Bezug auf die folgende Zeichnung beschrieben. Es zeigen:
  • 1A eine schematische Schnittansicht oder Seitenansicht eines Trägers gemäß verschiedenen Ausführungsformen,
  • 1B eine schematische Draufsicht eines Trägers gemäß verschiedenen Ausführungsformen,
  • 1C eine schematische Schnittansicht oder Seitenansicht eines Trägers gemäß verschiedenen Ausführungsformen,
  • 1D eine schematische Draufsicht eines Trägers gemäß verschiedenen Ausführungsformen,
  • die 1E bis 1G jeweils eine schematische Schnittansicht oder Seitenansicht eines Trägers gemäß verschiedenen Ausführungsformen,
  • 1H Rasterelektronenmikroskopiebilder (SEM-Bilder) eines Trägers und eine schematische Darstellung des räumlichen Entfernens eines Gebiets des Trägers gemäß verschiedenen Ausführungsformen,
  • die 2A und 2B eine schematische Draufsicht bzw. eine entsprechende Schnittansicht einer Tragstruktur gemäß verschiedenen Ausführungsformen,
  • 3 ein schematisches Flussdiagramm eines Verfahrens zur Verarbeitung eines Trägers gemäß verschiedenen Ausführungsformen,
  • die 4A und 4C jeweils eine schematische Schnittansicht oder eine Seitenansicht eines Trägers während der Verarbeitung gemäß verschiedenen Ausführungsformen,
  • 4D Rasterelektronenmikroskopiebilder eines Trägers während der Verarbeitung des Trägers gemäß verschiedenen Ausführungsformen,
  • die 4E und 4F jeweils eine schematische Schnittansicht oder eine Seitenansicht eines Trägers während der Verarbeitung gemäß verschiedenen Ausführungsformen,
  • 4G Rasterelektronenmikroskopiebilder eines Trägers während der Verarbeitung des Trägers gemäß verschiedenen Ausführungsformen,
  • die 5A und 5B jeweils eine schematische Schnittansicht und eine entsprechende Draufsicht eines Trägers nach sechs Verarbeitungsstufen während der Verarbeitung gemäß verschiedenen Ausführungsformen,
  • die 6A und 6B jeweils eine schematische Schnittansicht oder eine Seitenansicht eines Trägers während der Verarbeitung gemäß verschiedenen Ausführungsformen,
  • 7 ein schematisches Flussdiagramm eines Verfahrens zur Verarbeitung eines Trägers gemäß verschiedenen Ausführungsformen und
  • die 8A bis 8C jeweils eine schematische Schnittansicht oder eine Seitenansicht eines Trägers während der Verarbeitung gemäß verschiedenen Ausführungsformen.
  • Die folgende detaillierte Beschreibung bezieht sich auf die anliegende Zeichnung, worin zur Veranschaulichung spezifische Einzelheiten und Ausführungsformen dargestellt sind, in denen die Erfindung verwirklicht werden kann.
  • Das Wort "als Beispiel dienend" soll hier "als ein Beispiel, ein Fall oder eine Veranschaulichung dienend" bedeuten. Alle hier als "als Beispiel dienend" beschriebenen Ausführungsformen oder Entwürfe sollen nicht unbedingt als gegenüber anderen Ausführungsformen oder Entwürfen bevorzugt oder vorteilhaft ausgelegt werden. Das mit Bezug auf ein "über" einer Seite oder Fläche gebildetes abgeschiedenes Material oder die Abscheidung einer Schicht "über" einem Träger verwendete Wort "über" kann hier verwendet werden, um anzugeben, dass das abgeschiedene Material "direkt auf", beispielsweise in direktem Kontakt mit der betreffenden Seite, Fläche oder dem betreffenden Träger gebildet werden kann. Das mit Bezug auf ein "über" einer Seite oder Fläche gebildetes abgeschiedenes Material oder eine Abscheidung einer Schicht "über" einem Träger verwendete Wort "über" kann hier verwendet werden, um anzugeben, dass das abgeschiedene Material "indirekt auf" der betreffenden Seite, Fläche oder dem betreffenden Träger gebildet werden kann, wobei eine oder mehrere zusätzliche Schichten zwischen der betreffenden Seite, Fläche oder dem betreffenden Träger und dem abgeschiedenen Material angeordnet sind.
  • Der mit Bezug auf die "laterale" Abmessung einer Struktur (oder eines Trägers), eine laterale Richtung oder "lateral" angrenzend verwendete Begriff lateral kann hier verwendet werden, um eine Abmessung entlang einer Richtung parallel zu einer Fläche eines Trägers oder eine Richtung parallel zu einer Fläche eines Trägers anzugeben. Dies bedeutet, dass eine Fläche eines Trägers (beispielsweise eine Fläche eines Substrats oder eine Fläche eines Wafers) als Referenz dienen kann, welche üblicherweise als Hauptverarbeitungsfläche eines Trägers bezeichnet wird (oder als Hauptverarbeitungsfläche eines anderen Trägertyps). Ferner kann der mit Bezug auf eine "Breite" einer Struktur (oder eines Strukturelements, beispielsweise eines Hohlraums oder einer Hohlkammer) verwendete Begriff "Breite" hier verwendet werden, um die laterale Abmessung einer Struktur anzugeben. Ferner kann der mit Bezug auf eine Höhe einer Struktur (oder eines Strukturelements) verwendete Begriff "Höhe" hier verwendet werden, um eine Abmessung einer Struktur entlang einer Richtung senkrecht zu einer Fläche eines Trägers (beispielsweise senkrecht zur Hauptverarbeitungsfläche eines Trägers) anzugeben. Ferner kann der mit Bezug auf eine Tiefe einer Aussparung (oder eines Strukturelements) verwendete Begriff "Tiefe" hier verwendet werden, um eine Abmessung einer Aussparung entlang einer zur Fläche eines Trägers senkrechten Richtung (beispielsweise senkrecht zur Hauptverarbeitungsfläche eines Trägers) anzugeben. Ferner kann eine "vertikale" Struktur als eine Struktur bezeichnet werden, die sich in einer Richtung senkrecht zur lateralen Richtung (beispielsweise senkrecht zur Hauptverarbeitungsfläche eines Trägers) erstreckt, und kann eine "vertikale" Abmessung als eine Abmessung entlang einer Richtung senkrecht zur lateralen Richtung (beispielsweise eine Abmessung senkrecht zur Hauptverarbeitungsfläche eines Trägers) bezeichnet werden.
  • Das mit Bezug auf ein eine Struktur (oder ein Strukturelement) bedeckendes abgeschiedenes Material verwendete Wort "Bedecken" kann hier verwendet werden, um anzugeben, dass ein abgeschiedenes Material eine Struktur (oder ein Strukturelement) vollständig bedecken kann, beispielsweise alle freigelegten Seiten und Flächen einer Struktur bedecken kann. Das mit Bezug auf ein eine Struktur (oder ein Strukturelement) bedeckendes abgeschiedenes Material verwendete Wort "Bedecken" kann hier verwendet werden, um anzugeben, dass ein abgeschiedenes Material eine Struktur zumindest teilweise bedecken kann, wobei ein Material beispielsweise die freigelegten Seiten und Flächen einer Struktur zumindest teilweise bedecken kann.
  • Gemäß verschiedenen Ausführungsformen kann eine Hohlkammer beispielsweise auch mit einem Material gefüllt sein, wobei eine Hohlkammer in einem Siliciumwafer beispielsweise mit Siliciumoxid gefüllt oder teilweise gefüllt sein kann. Daher kann der mit Bezug auf eine "Hohlkammer" verwendete Begriff "hohl" hier verwendet werden, um anzugeben, dass die Hohlkammer selbst (beispielsweise ein Hohlraum, ein Leerraum oder eine hohle Struktur) frei von einem Material sein kann. Eine Hohlkammer kann jedoch auch teilweise mit einem Füllmaterial gefüllt sein oder vollständig mit einem Füllmaterial gefüllt sein. Mit Bezug hierauf kann die Hohlkammer teilweise oder vollständig mit einem anderen Material als dem die Hohlkammer bereitstellenden Material gefüllt sein.
  • Gemäß verschiedenen Ausführungsformen kann das Bilden einer Schicht (beispielsweise das Abscheiden einer Schicht, das Abscheiden eines Materials und/oder das Anwenden eines Schichtbildungsprozesses), wie hier beschrieben, auch das Bilden einer Schicht einschließen, wobei die Schicht verschiedene Unterschichten aufweisen kann, wobei verschiedene Unterschichten jeweils verschiedene Materialien aufweisen können. Mit anderen Worten können mehrere verschiedene Unterschichten in eine Schicht aufgenommen werden, oder es können mehrere verschiedene Gebiete in eine abgeschiedene Schicht und/oder in ein abgeschiedenes Material aufgenommen werden. Gemäß verschiedenen Ausführungsformen kann ein Verfahren zur Verarbeitung eines Trägers, wie hier beschrieben, mehrere grundlegende Halbleiterherstellungstechniken aufweisen, die wenigstens einmal im Gesamtherstellungsprozess oder wenigstens einmal während der Verarbeitung eines Trägers verwendet werden können. Die folgende Beschreibung grundlegender Techniken sollte als Beispiele erläuternd verstanden werden, wobei die Techniken in die hier beschriebenen Prozesse aufgenommen werden können. Die als Beispiel beschriebenen grundlegenden Techniken brauchen möglicherweise nicht unbedingt als gegenüber anderen Techniken oder Verfahren bevorzugt oder vorteilhaft ausgelegt werden, weil sie nur dazu dienen, zu erläutern, wie eine oder mehrere Ausführungsformen der Erfindung verwirklicht werden können. Aus Gründen der Kürze kann die Erläuterung beispielhaft beschriebener grundlegender Techniken nur ein kurzer Überblick sein und sollte nicht als erschöpfende Beschreibung angesehen werden.
  • Gemäß verschiedenen Ausführungsformen kann ein Schichtbildungsprozess (oder eine Schichtbildung) in ein Verfahren zur Verarbeitung eines Trägers, in ein Verfahren zur Herstellung einer elektronischen Vorrichtung oder in einen anderen Prozess oder ein anderes Verfahren, wie hier beschrieben, aufgenommen werden. Bei einem Schichtbildungsprozess kann eine Schicht unter Verwendung von Abscheidungstechniken, die eine chemische Dampfabscheidung (CVD oder einen CVD-Prozess) und eine physikalische Dampfabscheidung (PVD oder einen PVD-Prozess) einschließen können, gemäß verschiedenen Ausführungsformen über einer Fläche (beispielsweise über einem Träger, einem Wafer, einem Substrat, einer anderen Schicht oder dergleichen) abgeschieden werden (ein Schichtbildungsprozess kann daher das Abscheiden eines Materials einschließen). Gemäß verschiedenen Ausführungsformen kann die Dicke einer abgeschiedenen Schicht, abhängig von ihrer spezifischen Funktion, im Bereich einiger Nanometer bis zu einigen Mikrometern liegen. Ferner kann gemäß verschiedenen Ausführungsformen eine Schicht, abhängig von der jeweiligen spezifischen Funktion der Schicht, wenigstens eines von einem elektrisch isolierenden Material, einem elektrisch halbleitenden Material und einem elektrisch leitfähigen Material aufweisen. Gemäß verschiedenen Ausführungsformen können Modifikationen von PVD- und CVD-Prozessen beim Verfahren zur Verarbeitung eines Trägers, beispielsweise zum Abscheiden einer elektrisch isolierenden Schicht oder zum Füllen einer hohlen Struktur mit einem elektrisch leitenden Material, verwendet werden. Gemäß verschiedenen Ausführungsformen kann ein chemischer Dampfabscheidungsprozess (CVD-Prozess) eine Vielzahl von Modifikationen aufweisen, wie beispielsweise Atmosphärendruck-CVD (APCVD), Niederdruck-CVD (LPCVD), Ultrahochvakuum-CVD (UHVCVD), plasmaverstärkte CVD (PECVD), Hochdichtes-Plasma-CVD (HDPCVD), Fern-Plasma-verstärkte CVD (RPECVD), atomare Schichtabscheidung (ALD), Atomare-Schicht-CVD (ALCVD), Dampfphasenepitaxie (VPE), metallorganische CVD (MOCVD), hybride physikalische CVD (HPCVD) und dergleichen. Gemäß verschiedenen Ausführungsformen kann ein Schichtbildungsprozess ferner die Bildung einer Resistschicht oder das Abscheiden einer Resistschicht, beispielsweise unter Verwendung von Schleuderbeschichten, Sprühbeschichten und dergleichen, aufweisen.
  • Gemäß verschiedenen Ausführungsformen kann ein Strukturierungsprozess (oder eine Strukturierung) in ein Verfahren zur Verarbeitung eines Trägers oder in einen anderen Prozess oder ein anderes hier beschriebenes Verfahren aufgenommen werden. Der Strukturierungsprozess kann beispielsweise das Entfernen ausgewählter Abschnitte einer Oberflächenschicht und/oder das Entfernen ausgewählter Abschnitte eines Materials aufweisen. Gemäß verschiedenen Ausführungsformen können mehrere Gräben, Aussparungen und/oder Löcher in einem Träger oder in einer Oberflächenschicht eines Trägers unter Verwendung eines Strukturierungsprozesses gebildet werden. Ferner kann eine Strukturierung einer Schicht verwendet werden, um eine strukturierte Schicht, beispielsweise eine Maskenschicht, zu bilden. Weil mehrere Prozesse beteiligt sein können, gibt es gemäß verschiedenen Ausführungsformen verschiedene Möglichkeiten, einen Strukturierungsprozess auszuführen, wobei Aspekte folgende sein können: das Auswählen wenigstens eines Bereichs einer Oberflächenschicht (oder eines Materials oder eines Trägers), der entfernt werden soll, beispielsweise unter Verwendung wenigstens eines Lithographieprozesses, und das Entfernen der ausgewählten Abschnitte einer Oberflächenschicht, beispielsweise unter Verwendung wenigstens eines Ätzprozesses.
  • Gemäß verschiedenen Ausführungsformen können verschiedene Lithographieprozesse angewendet werden, um eine Maskenschicht zu erzeugen (beispielsweise eine strukturierte Resistschicht), beispielsweise Photolithographie, Mikrolithographie oder Nanolithographie, Elektronenstrahllithographie, Röntgenlithographie, Ultraviolettlithographie, Extremes-Ultraviolett-Lithographie, Interferenzlithographie und dergleichen. Ein Lithographieprozess kann wenigstens einen von einem anfänglichen Reinigungsprozess, einem Präparationsprozess, einem Aufbringen eines Resists (beispielsweise eines Photoresists), einem Belichten des Resists (beispielsweise Belichten des Photoresists mit einem Lichtmuster) und einem Entwickeln des Resists (beispielsweise Entwickeln des Photoresists unter Verwendung eines chemischen Photoresistentwicklers) einschließen.
  • Gemäß verschiedenen Ausführungsformen kann ein anfänglicher Reinigungsprozess oder ein Reinigungsprozess, der in einen Lithographieprozess aufgenommen sein kann, angewendet werden, um organische oder anorganische Verunreinigungen von einer Oberfläche (beispielsweise von einer Oberflächenschicht, einem Träger, einem Wafer und dergleichen) beispielsweise durch eine nasschemische Behandlung, zu entfernen. Gemäß verschiedenen Ausführungsformen kann ein Reinigungsprozess (beispielsweise ein chemisch-mechanisches Polieren (CMP)) auch angewendet werden, um eine Oxidschicht (beispielsweise eine dünne Siliciumoxidschicht) von einer Oberfläche (beispielsweise von einer Oberflächenschicht, einem Träger oder einem Wafer und dergleichen) zu entfernen.
  • Gemäß verschiedenen Ausführungsformen kann das Anwenden eines Metallisierungsprozesses ferner ein Planarisieren der Trägeroberfläche (Waferoberfläche, Substratoberfläche und dergleichen) und/oder ein Planarisieren von Zwischenschichten, die in einen Mehrebenenmetallisierungsprozess aufgenommen sind (beispielsweise unter Verwendung eines chemisch-mechanischen Polierens) aufweisen.
  • Gemäß verschiedenen Ausführungsformen kann ein Planarisierungsprozess angewendet werden, beispielsweise um die Oberflächenrauigkeit zu verringern oder Variationen im Tiefenprofil eines Trägers oder einer Waferoberfläche, worin Strukturelemente mit unterschiedlichen Höhen enthalten sind, zu verringern, weil einige Prozesse eine flache Oberfläche (beispielsweise eine planare Oberfläche) erfordern können (beispielsweise die hochauflösende Lithographie). Gemäß verschiedenen Ausführungsformen kann ein Planarisierungsprozess erforderlich sein, wenn die Anzahl der ausgeführten Schichtbildungsprozesse und Strukturierungsprozesse zunimmt und eine planare Oberfläche erforderlich sein kann. Gemäß verschiedenen Ausführungsformen kann ein chemisch-mechanischer Polierprozess (CMP oder CMP-Prozess) ausgeführt werden, wobei dieser Prozess für spezifische Materialien an der Oberfläche eines Trägers (eines Wafers, eines Substrats, einer Oberflächenschicht und dergleichen) selektiv sein kann. Gemäß verschiedenen Ausführungsformen kann ein chemisch-mechanischer Polierprozess (CMP oder CMP-Prozess) ausgeführt werden, wobei dieser Prozess für spezifische Materialien an der Oberfläche eines Trägers (eines Wafers, eines Substrats, einer Oberflächenschicht und dergleichen) nicht selektiv sein kann. Gemäß verschiedenen Ausführungsformen kann ein Planarisierungsprozess zusätzlich in mehrere Prozesse aufgenommen werden, beispielsweise in Schichtbildungsprozesse, Strukturierungsprozesse und dergleichen.
  • Gemäß verschiedenen Ausführungsformen kann ein Resist aufgebracht werden, um eine Oberfläche (beispielsweise eine Oberflächenschicht, einen Träger oder einen Wafer und dergleichen) zu bedecken. Gemäß verschiedenen Ausführungsformen kann das Aufbringen eines Resists ein Schleuderbeschichten oder Sprühbeschichten zur Erzeugung einer Resistschicht einschließen. Gemäß verschiedenen Ausführungsformen kann ein Resist belichtet werden (beispielsweise indem ein Resist einem Lichtmuster ausgesetzt wird), um ein gewünschtes Muster auf einen Resist zu übertragen, beispielsweise unter Verwendung von Licht oder Elektronen, wobei das gewünschte Muster durch eine strukturierte Lithographiemaske (beispielsweise einen Glasträger mit einer für das Belichten der Resistschicht verwendeten strukturierten Chromschicht) definiert werden kann.
  • Gemäß verschiedenen Ausführungsformen kann ein Lithographieprozess das Entwickeln eines Resists (beispielsweise das Entwickeln eines Photoresists unter Verwendung eines Photoresistentwicklers) zum teilweisen Entfernen des Resists, um eine strukturierte Resistschicht (beispielsweise auf einer Oberflächenschicht oder einem Träger, einem Wafer und dergleichen) zu erzeugen, einschließen. Gemäß verschiedenen Ausführungsformen kann der Entwicklungsprozess eine spezielle chemische Lösung (einen so genannten Entwickler), wie beispielsweise Natriumhydroxid oder Tetramethylammoniumhydroxid (TMAH, ein metallionenfreier Entwickler), einschließen. Gemäß verschiedenen Ausführungsformen kann die strukturierte Resistschicht in einem Hartbackprozess (einer Wärmebehandlung, beispielsweise einer schnellen thermischen Verarbeitung) verfestigt werden, wodurch eine dauerhaftere Schutzschicht für spätere Prozesse verwirklicht wird.
  • Unabhängig von den beschriebenen Lithographieprozessen, kann eine Resistschicht oder eine strukturierte Resistschicht an einer gewünschten Verarbeitungsstufe (beispielsweise nachdem ein Graben geätzt wurde oder ein Träger strukturiert wurde) in einem so genannten Resistabziehprozess vollständig (oder teilweise) entfernt werden. Gemäß verschiedenen Ausführungsformen kann ein Resist chemisch und/oder unter Verwendung eines Sauerstoffplasmas entfernt werden.
  • Es sei bemerkt, dass ein Lithographieprozess, einschließlich beispielsweise des Belichtens eines Resists und des Entwickelns eines Resists, auch als ein Strukturierungsprozess angesehen werden kann, wobei eine strukturierte Resistschicht (eine Weichmaske oder eine Resistmaske) durch den Lithographieprozess erzeugt werden kann. Ferner kann nach der Verwendung eines Ätzprozesses gemäß verschiedenen Ausführungsformen ein Muster von einer strukturierten Resistschicht auf eine zuvor abgeschiedene oder aufgewachsene Schicht übertragen werden, wobei die zuvor abgeschiedene oder aufgewachsene Schicht ein Hartmaskenmaterial, wie beispielsweise ein Oxid oder ein Nitrid (beispielsweise Siliciumoxid oder Siliciumnitrid) zur Erzeugung einer so genannten Hartmaske aufweisen kann.
  • Gemäß verschiedenen Ausführungsformen kann ein Ätzprozess, der in einen Strukturierungsprozess aufgenommen sein kann, angewendet werden, um Material von einer zuvor abgeschiedenen Schicht, einer aufgewachsenen Oberflächenschicht oder von einem Träger (oder einem Substrat oder einem Wafer) und dergleichen zu entfernen. Gemäß verschiedenen Ausführungsformen kann eine strukturierte Schicht eines Hartmaskenmaterials (beispielsweise Siliciumnitrid) als eine Maske für Prozesse in der Art eines Ätzens oder Bildens von Aussparungen, Gräben oder Löchern an gewünschten Positionen in einem Träger oder in einer Oberflächenschicht dienen. Ferner kann gemäß verschiedenen Ausführungsformen ein strukturierter Photoresist auch als eine Maske (eine so genannte Weichmaske) dienen. Das Maskenmaterial kann gewöhnlich in Bezug auf spezifische Anforderungen, wie beispielsweise die chemische Stabilität oder die mechanische Stabilität, beispielsweise zum Schützen von Bereichen gegen ein Ätzen oder zum Definieren der Form von Strukturelementen, die während eines Schichtbildungsprozesses zu erzeugen sind, und dergleichen, ausgewählt werden.
  • Gemäß verschiedenen Ausführungsformen können einige Stufen während der Verarbeitung eines Trägers eine konform abgeschiedene Schicht erfordern oder das konforme Abscheiden einer Schicht erfordern (beispielsweise zur Bildung einer Schicht über einer Seitenwand eines Strukturelements oder zum Bedecken einer inneren Seitenwand oder Oberfläche eines Hohlraums), was bedeutet, dass eine Schicht (oder ein eine Schicht bildendes Material) entlang einer Grenzfläche mit einem anderen Körper nur geringe Dickenvariationen aufweisen kann, wobei eine Schicht beispielsweise entlang Rändern, Stufen oder anderen Elementen der Morphologie der Grenzfläche nur kleine Dickenänderungen aufweisen kann. Gemäß verschiedenen Ausführungsformen können Schichtbildungsprozesse, wie Plattieren, atomare Schichtabscheidung (ALD) oder mehrere CVD-Prozesse (beispielsweise ALCVD oder LPCVD) geeignet sein, um eine konforme Schicht oder eine konform abgeschiedene Schicht eines Materials zu erzeugen. Gemäß verschiedenen Ausführungsformen kann unter Verwendung beispielsweise eines atomaren Schichtabscheidungs-(ALD)-Prozesses eine Struktur mit einem hohen Aspektverhältnis (beispielsweise größer als 5, größer als 10 oder größer als 20) konform mit einer Schicht oder einem Dünnfilm bedeckt werden. Ferner kann gemäß verschiedenen Ausführungsformen, beispielsweise unter Verwendung eines atomaren Schichtabscheidungs-(ALD)-Prozesses, die Innenfläche (beispielsweise die innere Seitenwand) eines Hohlraums oder einer Hohlkammer mit einer konformen Schicht oder einem konformen Dünnfilm (vollständig oder teilweise) bedeckt werden. Mit anderen Worten kann die Verwendung der atomaren Schichtabscheidung die Beschichtung der Innenfläche (beispielsweise der inneren Seitenwand) eines Hohlraums oder einer Hohlraumstruktur mit einer Materialschicht (beispielsweise mit einer konformen Materialschicht) erlauben, falls der Hohlraum oder die Hohlraumstruktur wenigstens eine Öffnung aufweisen kann, so dass das die Materialschicht bildende Material das Innere des Hohlraums oder der Hohlraumstruktur erreichen kann. Ferner kann die Verwendung der atomaren Schichtabscheidung das vollständige Füllen einer Hohlkammer erlauben, falls die Hohlkammer wenigstens eine Öffnung aufweist.
  • Gemäß verschiedenen Ausführungsformen kann ein Träger, wie hier beschrieben (beispielsweise ein Substrat, ein Wafer und dergleichen), aus Halbleitermaterialien verschiedener Typen, einschließlich Silicium, Germanium, Gruppe III bis V oder anderen Typen, einschließlich beispielsweise Polymeren, hergestellt werden, wenngleich gemäß einer anderen Ausführungsform andere geeignete Materialien verwendet werden können. Gemäß einer Ausführungsform besteht das Wafersubstrat aus Silicium (dotiert oder undotiert). Alternativ können beliebige andere geeignete Halbleitermaterialien für das Wafersubstrat verwendet werden, beispielsweise ein Halbleiterverbindungsmaterial, wie Siliciumcarbid (SiC), Galliumarsenid (GaAs), Galliumnitrid (GaN), Indiumphosphid (InP), jedoch auch ein beliebiges geeignetes ternäres Halbleiterverbindungsmaterial oder quaternäres Halbleiterverbindungsmaterial, wie Indiumgalliumarsenid (InGaAs). Gemäß verschiedenen Ausführungsformen kann der Träger ein oder mehrere dotierte Gebiete aufweisen, beispielsweise einen oder mehrere p-n-Übergänge im Träger bereitstellen. Gemäß verschiedenen Ausführungsformen wird ein Verfahren zur Verarbeitung eines Trägers beschrieben, wobei dieses Verfahren zur Bildung eines elektrisch isolierten Gebiets in einem Siliciumwafer oder Siliciumträger, einer SOI-Struktur (Silicium-auf-Isolator-Struktur) verwendet werden kann. Ferner kann gemäß verschiedenen Ausführungsformen ein Träger bereitgestellt werden, der ein getrenntes Siliciumgebiet (beispielsweise ein elektrisch isoliertes und/oder räumlich getrenntes Siliciumgebiet) in einem Träger, eine SON-Struktur (Silicium-auf-nichts-Struktur) aufweist. Bei üblicherweise verwendeten Technologien kann das Aufnehmen eines elektrisch isolierten Gebiets im Träger beispielsweise das Verwenden eines SOI-Substrats (eines Silicium-auf-Isolator- Substrats) aufweisen, wobei eine vergrabene Oxidschicht (beispielsweise in einer Tiefe von mehreren Mikrometern) eine dünne aktive Siliciumschicht an der Oberfläche des Substrats elektrisch isoliert. Die Verwendung eines SOI-Substrats zur Herstellung einer elektronischen Vorrichtung kann eine kostspielige Option zur Verwirklichung eines elektrisch isolierten Gebiets im Träger sein. Eine weitere Option kann die Bildung einer lokalen vergrabenen Oxidschicht, eines lokalen SOI-Gebiets, beispielsweise durch Anwenden eines so genannten Silicium-auf-nichts-Prozesses (auch als Venezia-Prozess oder Venetia-Prozess bezeichnet) oder der so genannten Leerraum-in-Silicium-Technik, sein. In Bezug hierauf kann ein elektrisch isoliertes Gebiet im Träger durch Bilden einer Grabenstruktur und Ausführen eines Hochtemperaturprozesses zur Bildung eines planaren Hohlraums oder eines röhrenartigen Hohlraums aus der Grabenstruktur gebildet werden. Beispielsweise kann die Grabenstruktur, abhängig vom Entwurf der Grabenstruktur, durch einen Temperprozess in einen oder mehrere Hohlräume (Hohlkammern) übertragen werden. Dadurch kann eine lokale (lateral begrenzte) Isolation durch den einen oder die mehreren Hohlräume unterhalb eines Siliciumgebiets bereitgesellt werden. Bei einem weiteren Prozess kann das Gebiet über dem einen oder den mehreren Hohlräumen lateral isoliert werden, beispielsweise durch Ätzen eines Grabens in den Träger und Füllen des Grabens mit einem Material. Allerdings können üblicherweise verwendete Trägerentwürfe und Silicium-auf-nichts-Prozesse infolge der komplexen Diffusion und/oder des Migrations-basierten Bildungsprozesses nicht die Bildung eines Hohlraums mit einer großen lateralen Abmessung, beispielsweise größer als einige zehn Mikrometer, erlauben.
  • Im Allgemeinen kann ein üblicherweise verwendeter Silicium-auf-nichts-Prozess zur Bildung eines elektrisch isolierten Gebiets im Träger für Probleme in Bezug auf die Stabilität des Prozesses und/oder die mechanische Stabilität der verarbeiteten Strukturen anfällig sein. Eine üblicherweise verarbeitete Silicium-auf-nichts-Struktur (beispielsweise ein getrenntes Siliciumgebiet oder ein elektrisch isoliertes Siliciumgebiet im Träger) kann durch Ausräumen von Trägermaterial zwischen vergrabenen röhrenartigen Hohlräumen unterhalb des getrennten Siliciumgebiets mit dem Rest des Trägers verbunden werden. Das ausgeräumte Trägermaterial zwischen jeweils zwei benachbarten Hohlräumen kann eine mechanische Unterstützung für das Siliciumgebiet über den mehreren Hohlräumen bereitstellen. Beispielsweise kann die mechanische Unterstützung für das isolierte Siliciumgebiet durch Bilden mehrerer Hohlräume in einem definierten Abstand zueinander bereitgestellt werden, wobei das Material zwischen den benachbarten Hohlräumen von den mehreren Hohlräumen thermisch oxidiert werden kann. Es ist jedoch schwierig, solche (beispielsweise vergrabenen röhrenartigen) Hohlräume zu erzeugen, weil die Träger zwischen benachbarten Hohlräumen eine genau gesteuerte Breite aufweisen können, weil erstens eine zu kleine Bereite eines Trägers die Stabilität der Venezia-Struktur (des Siliciumgebiets über den mehreren Hohlräumen) verringern kann und ferner der Träger durch typischerweise auftretende kleine Prozessschwankungen vollständig entfernt werden kann und zweitens eine zu große Breite des Trägers eine nicht tolerierbare Biegung des Trägers während des thermischen Oxidierens der Träger hervorrufen kann, um die elektrische Isolation des Siliciumgebiets über den durch die Träger getragenen Hohlräumen zu verwirklichen. Falls der Träger unterhalb des Siliciumgebiets unter Prozessbedingungen außerhalb eines sehr schmalen Fensters optimaler Prozessbedingungen verarbeitet wird (beispielsweise infolge typischerweise auftretender Prozessschwankungen), kann das Siliciumgebiet keine oder eine zu schwache Verbindung mit dem Träger haben, nachdem eine weitere Grabenstruktur für die laterale elektrische Isolation gebildet wurde, weshalb eine Weiterverarbeitung des Trägers unmöglich sein kann, weil sich das Siliciumgebiet vom Träger ablösen kann. Beispielsweise kann das Bereitstellen der Träger für eine Silicium-auf-nichts-Struktur unterhalb des getrennten Siliciumgebiets durch mehrere röhrenartige Hohlräume mit einem definierten Abstand zwischeneinander mit einer genau gesteuerten Verarbeitung verbunden sein, die fehleranfällig sein kann und zu einer geringen Kosteneffizienz führen kann. Ferner kann die thermische Oxidation der vergrabenen Hohlräume schwer zu steuern sein. Die Oxidation kann beispielsweise unvollständig sein, nachdem ein thermischer Oxidationsprozess ausgeführt wurde, so dass unerwünschte Leckströme vom Siliciumgebiet zum Träger fließen können. Ferner kann die unvollständige (teilweise) Oxidation der vergrabenen Hohlräume einen hohen Eintrag mechanischer Spannungen in den Träger hervorrufen, was zu einer unerwünschten Biegung des Trägers führen kann. Ferner kann die Verwendung vergrabener Strukturen zum Tragen des getrennten Siliciumgebiets zu dem Problem führen, dass es schwierig oder sogar unmöglich sein kann, einen direkten Test auszuführen, ob der Oxidationsprozess erfolgreich war. Verschiedene Ausführungsformen können auf dem Verständnis der vorstehenden Probleme beruhen, welche während des Bereitstellens eines getrennten Siliciumgebiets (einer SOI-Struktur oder einer SON-Struktur) auftreten.
  • Gemäß verschiedenen Ausführungsformen kann ein Siliciumgebiet in einem Träger bereitgestellt werden, wobei das Siliciumgebiet durch einen Hohlraum (eine Hohlkammer) und eine oder mehrere Säulen, die sich durch den Hohlraum erstrecken, elektrisch von unten isoliert werden kann. Daher können eine oder mehrere Säulen in einem Träger gebildet werden, und es kann anschließend ein Venetia-Prozess verwendet werden, um einen Hohlraum zu bilden, der die eine oder die mehreren Säulen lateral umgibt und dadurch ein Siliciumgebiet über dem Hohlraum vertikal isoliert und/oder trennt. Das Siliciumgebiet über dem Hohlraum kann durch die eine oder die mehreren Säulen getragen werden. Beispielsweise kann gemäß verschiedenen Ausführungsformen die Verwendung der einen oder der mehreren Säulen (beispielsweise einschließlich eines Oxids, beispielsweise von Siliciumoxid) zur Bildung eines Hohlraums, der sich lateral im Träger erstreckt, die Bildung eines einzelnen Hohlraums (und daher eines Siliciumgebiets über dem einzelnen Hohlraum) mit einer großen lateralen Abmessung (beispielsweise größer als etwa 100 µm) ermöglichen, was ohne Tragstrukturen innerhalb des Hohlraums nicht möglich wäre, weil die laterale Abmessung eines durch einen Venetia-Prozess gebildeten nicht getragenen Hohlraums begrenzt sein kann (beispielsweise auf etwa 50 µm begrenzt sein kann). Alternativ kann der Hohlraum unter Verwendung anderer Techniken gebildet werden, beispielsweise durch Tempern einer porösen Struktur oder Tempern von einem oder mehreren porösen Gebieten im Träger, wobei die poröse Struktur oder das eine oder die mehreren porösen Gebiete im Träger die eine oder die mehreren Säulen lateral umgebend gebildet werden können.
  • Verschiedene Ausführungsformen können auf der Erkenntnis beruhen, dass eine Oxidstruktur (beispielsweise eine Struktur, die ein Oxid aufweist oder aus einem Oxid besteht) während eines Temperprozesses zur Bildung eines Hohlraums im Siliciumwafer (beispielsweise während eines Venetia-Prozesses) ein stabiles Gebiet in einem Siliciumwafer bereitstellen kann. Ferner kann die Oxidstruktur mit einem Nitridüberzug umgeben werden, so dass beispielsweise eine Struktur bereitgestellt werden kann, die beispielsweise einen Siliciumoxidkern aufweist, welcher von einem Siliciumnitridüberzug oder einer Siliciumnitridumhüllung umgeben ist.
  • Gemäß verschiedenen Ausführungsformen kann der die Oxidsäule umgebende (oder eine Tragstruktur mit einem Oxid umgebende) Nitridüberzug die Säule während des Venetia-Prozesses schützen. Daher kann der stabilere Silicium-auf-nichts-Prozess verwendet werden, um Silicium-auf-Isolator-Strukturen und/oder Silicium-auf-nichts-Strukturen bereitzustellen.
  • Der nachfolgend beschriebene Trägerentwurf gemäß verschiedenen Ausführungsformen kann stabiler und leichter zu steuern sein als der herkömmlich verwendete Prozess auf der Grundlage der Bildung mehrerer röhrenartiger vergrabener (SOI-)Hohlräume.
  • Ferner können der Trägerentwurf und das Verfahren zur Verarbeitung eines Trägers, die nachfolgend gemäß verschiedenen Ausführungsformen beschrieben werden, das Bilden eines isolierten Siliciumgebiets in einem Träger ohne die Verwendung eines thermischen Oxidationsprozesses zum Oxidieren von Tragstrukturen unterhalb des Siliciumgebiets ermöglichen. Dies kann einen Spannungseintrag in den Träger und/oder in das Siliciumgebiet vermeiden.
  • Ferner kann eine Grabenstruktur bereitgestellt werden, welche die isolierten Siliciumgebiete in einem Träger lateral umgibt, wobei eine Grabenisolation gebildet werden kann, ohne mechanische Spannungen in die Silicium-auf-nichts-Struktur oder die Silicium-auf-Isolator-Struktur einzubringen. Dies kann das Verringern der mechanischen Spannungen ermöglichen, das Erweitern des Prozessfensters ermöglichen und neue Möglichkeiten zur Integration und Anwendung ermöglichen. Beispielsweise kann eine Tragstruktur zum Tragen des Siliciumgebiets bereitgestellt werden, wobei das Siliciumgebiet durch die Tragstruktur elektrisch vom Träger isoliert werden kann, wobei die Tragstruktur unter Verwendung von Schichtbildungsprozessen auf der Grundlage von CVD und/oder PVD gebildet werden kann, wobei ein thermischer Oxidationsprozess unnötig ist.
  • Ferner können der Trägerentwurf und das Verfahren zur Verarbeitung eines Trägers, die nachfolgend gemäß verschiedenen Ausführungsformen beschrieben werden, auf einer Waferebene angewendet werden, was eine Verarbeitung ohne einen zusätzlichen Planarisierungsprozess ermöglichen kann, weil die Venetia-"Auslaufzone" in ein nicht verwendetes Waferrandgebiet verschoben werden kann. Ferner kann die folgende Lithographieebene als erste Schicht freigelegt werden, so dass Überlagerungsfehler (oder Probleme infolge der Überlagerung) verringert werden können, was nach der Verarbeitung einer Epitaxieschicht über einen lokal gebildeten Leerraum (Hohlraum) im Silicium geschehen kann.
  • Gemäß verschiedenen Ausführungsformen können eine oder mehrere Oxidsäulen innerhalb eines Hohlraums (einer Hohlkammer) bereitgestellt werden, wobei der Hohlraum ein Siliciumgebiet oberhalb des Hohlraums vertikal trennt und die eine oder die mehreren Oxidsäulen das Siliciumgebiet tragen. Die eine oder die mehreren Oxidsäulen können elektrisch isolierend sein und sich durch den Hohlraum erstrecken. Der Hohlraum kann die eine oder die mehreren Oxidsäulen lateral umgeben, beispielsweise jede Säule von der einen oder den mehreren Oxidsäulen. Gemäß verschiedenen Ausführungsformen kann eine Säule innerhalb des Hohlraums auch als Tragstruktur bezeichnet werden, weil die Säule das Siliciumgebiet über dem Hohlraum tragen kann. Gemäß verschiedenen Ausführungsformen kann der Hohlraum frei von Trägermaterial, beispielsweise frei von Silicium, sein.
  • Gemäß verschiedenen Ausführungsformen können die hier beschriebenen Prozesse auf den gesamten Träger angewendet werden, beispielsweise auf die gesamte Hauptverarbeitungsfläche eines Siliciumwafers, oder sie können auf einen lokalen Bereich des Trägers angewendet werden. Dies kann die Bildung einer lokalen SON-Struktur oder die Bildung eines SON-Wafers ermöglichen.
  • Das Einkapseln einer Oxidstruktur (die beispielsweise Siliciumoxid aufweist) mit einem Nitridüberzug (der beispielsweise Siliciumnitrid aufweist) kann die Bildung von Strukturen in dem Träger ermöglichen, welche in der Lage sind, einem Venetia-Prozess (beispielsweise einem Hochtemperaturtempern) zu widerstehen, ohne verformt und/oder zerstört zu werden. Dies kann verwendet werden, um MEMS-Strukturen in einen Träger zu integrieren, der einem Venetia-Prozess unterzogen wird (oder in einen Hochtemperaturtemperprozess).
  • Gemäß verschiedenen Ausführungsformen kann eine Implementation des Verfahrens zur Verarbeitung eines Trägers, wie hier beschrieben, darin bestehen, einen gesamten SON-Wafer mit einem Hohlraum (einer Hohlkammer) herzustellen, die sich lateral kontinuierlich durch den Träger erstreckt, wobei das getrennte Siliciumgebiet über dem Hohlraum durch die eine oder die mehreren Tragstrukturen in dem Hohlraum getragen werden kann, wobei während nachfolgend ausgeführter Prozesse der Träger entwurfsunabhängig verarbeitet werden kann. Gemäß verschiedenen Ausführungsformen kann die Tiefe des Hohlraums angepasst werden, indem eine epitaxiale Siliciumschicht über dem Träger gebildet wird, nachdem der Hohlraum gebildet wurde.
  • Gemäß verschiedenen Ausführungsformen, wie hier beschrieben, kann der Träger zur Herstellung einer kostenwirksamen lokalen SOI-Struktur oder SON-Struktur mit einer sich gleichmäßig erstreckenden Hohlkammer (einem sich gleichmäßig erstreckenden Hohlraum) unter der SOI-Struktur oder der SON-Struktur verwendet werden. Dies kann in MEMS (mikro-elektromechanischen Systemen) oder in Anwendungen in der Art von Resonatoren und integrierten Hochspannungsvorrichtungen verwendet werden. Ferner können der Trägerentwurf und das Verfahren zur Verarbeitung des Trägers zur Herstellung einer SOI-Struktur oder einer SON-Struktur für Leistungsanwendungen, Logikschaltungen und/oder MEMS auf ultradünnem Silicium verwendet werden. Dadurch können eine SOI-Struktur oder eine SON-Struktur in einem Träger bereitgestellt werden, wodurch ein ultradünner Chip bereitgestellt wird, und es kann anschließend eine elektronische Schaltung oder ein MEMS auf dem ultradünnen Chip gebildet werden, wobei der ultradünne Chip nach dem Verarbeiten durch einen Plasmavereinzelungsprozess durch den Fertigungsende-Stapel mit einem nachfolgend ausgeführten Prozess getrennt werden kann, der das Aufnehmen des Chips, das Aufbrechen der Verbindung (durch die Tragstruktur bereitgestellt) zwischen dem Chip und dem Träger und das Anordnen des Chips (beispielsweise auf einem anderen Träger, beispielsweise einem Zuleitungsrahmen), beispielsweise einen so genannten Pick, Crack & PlaceTM-Prozess, aufweisen kann.
  • 1A zeigt einen Träger 100 in einer schematischen Seitenansicht oder einer Schnittansicht gemäß verschiedenen Ausführungsformen, wobei der Träger eine Hohlkammer 104 aufweisen kann, die von einer Fläche 102s des Trägers 100 beabstandet ist. Der Träger 100 kann ferner eine Tragstruktur 108 innerhalb der Hohlkammer 104 aufweisen, wobei die Tragstruktur 108 ein erstes Gebiet 102a des Trägers 100, das über der Hohlkammer 104 angeordnet ist, mit einem zweiten Gebiet 102b des Trägers verbindet, das unter der Hohlkammer 104 angeordnet ist, wobei die Tragstruktur 106 ein elektrisch isolierendes Material aufweisen kann. Ferner kann wenigstens ein Teil einer Fläche 108w der Tragstruktur 108 von der Innenfläche 104w der Hohlkammer 104 beabstandet sein. Beispielsweise kann die Tragstruktur 108 die Hohlkammer 104 nicht vollständig füllen. Gemäß verschiedenen Ausführungsformen kann die Hohlkammer 104 durch die Innenfläche 104w (die Innenwand) der Hohlkammer 104 definiert sein, welche durch das Trägermaterial bereitgestellt ist, beispielsweise durch das Silicium des Trägers 100. Ferner kann zumindest ein Teil einer Fläche 108w der Tragstruktur 108 (beispielsweise ein Teil der Fläche, wodurch die Tragstruktur lateral begrenzt ist) von der Innenfläche 104w der Hohlkammer 104 beabstandet sein. Gemäß verschiedenen Ausführungsformen können eine oder mehrere Seitenwände 108w der Tragstruktur 108 seitlich von der Innenfläche 104w beabstandet sein, wodurch die Hohlkammer 104 definiert ist. Gemäß verschiedenen Ausführungsformen kann der Träger 100 ein Siliciumsubstrat, ein Siliciumträger oder ein Siliciumwafer sein. Der Träger kann ferner ein anderes Material aufweisen, wobei der Träger beispielsweise ein geschichteter Träger sein kann, der wenigstens eine Siliciumschicht 102 als obere Schicht aufweist. Mit anderen Worten kann wenigstens die Oberflächenschicht 102 oder das Oberflächengebiet 102 des Trägers 100 Silicium aufweisen. Das Oberflächengebiet 102 kann ein Teil des Trägers 100 sein oder über dem Träger 100 ausgebildet sein. Alternativ kann der Träger 100 ein Halbleitersubstrat, einen Halbleiterträger oder einen Halbleiterwafer, der beispielsweise Germanium aufweist, aufweisen.
  • Die obere Fläche 102s des Trägers, die obere Fläche 102s der Oberflächenschicht 102 oder die obere Fläche 102s des Oberflächengebiets 102 des Trägers 100 können eine laterale Richtung 101 definieren. Gemäß verschiedenen Ausführungsformen kann die Hohlkammer 104, die im Träger 100 enthalten ist, innerhalb des Oberflächengebiets 102 des Trägers 100 gebildet sein, wobei die Hohlkammer 104 von der oberen Fläche 102s beabstandet angeordnet sein kann. Der Abstand 105a zwischen der oberen Fläche 102s und der Hohlkammer 104, beispielsweise der vertikale Abstand senkrecht zur lateralen Richtung 101, kann die Höhe 105a des ersten Gebiets 102a des Trägers 100 definieren. Die Höhe 105a des ersten Gebiets 102a des Trägers 100 kann im Bereich von etwa einigen hundert Nanometern bis zu etwa einigen Mikrometern oder bis zu etwa einigen zehn Mikrometern liegen, beispielsweise im Bereich von etwa 100 nm bis etwa 50 µm, beispielsweise im Bereich von etwa 1 µm bis etwa 30 µm, beispielsweise im Bereich von etwa 1 µm bis etwa 10 µm.
  • Ferner kann die Breite 101a des ersten Gebiets 102a des Trägers 100 durch die Breite der Hohlkammer 104 (die Hohlkammer 104 kann sich lateral innerhalb des Trägers 100 erstrecken) definiert sein. Mit anderen Worten kann das erste Gebiet 102a das Gebiet des Trägers 100 sein, das über der Hohlkammer 104 angeordnet ist, wobei das erste Gebiet 102a des Trägers 100 beispielsweise vom zweiten Gebiet 102b des Trägers 100 unterhalb der Hohlkammer 104 vertikal getrennt oder elektrisch isoliert sein kann. Die Breite 101a des ersten Gebiets 102a des Trägers 100 kann im Bereich von etwa einigen hundert Nanometern bis etwa einigen Mikrometern oder bis zu etwa einigen zehn Mikrometern oder bis zu etwa einigen hundert Mikrometern oder bis zu etwa einigen Millimetern oder bis zu etwa einigen Zentimetern oder bis zu etwa einigen zehn Zentimetern (beispielsweise bis zu 30 cm oder sogar mehr als 30 cm) liegen. Mit Bezug hierauf kann die Hohlkammer 104 die gleiche laterale Abmessung 107 wie das erste Gebiet 102a des Trägers 100 aufweisen. Das erste Gebiet 102a des Trägers 100 kann auch als Kappengebiet bezeichnet werden, weil das erste Gebiet 102a des Trägers 100 über der Hohlkammer 104 angeordnet sein kann.
  • Gemäß verschiedenen Ausführungsformen kann die innere Seitenwand der Hohlkammer 104 gekrümmt sein oder eine gekrümmte Form aufweisen, wobei dies beispielsweise vom Verfahren zur Bildung der Hohlkammer 104 im Träger 100 abhängen kann. Gemäß verschiedenen Ausführungsformen kann die Hohlkammer 104 das erste Gebiet 102a des Trägers 100 vertikal vom zweiten Gebiet 102b des Trägers 100 trennen, wobei das zweite Gebiet 102b des Trägers 100 unterhalb des ersten Gebiets 102a des Trägers 100 angeordnet sein kann oder sich darunter erstrecken kann. Die Höhe 111 der Hohlkammer 104 kann im Bereich von etwa einigen zehn Nanometern bis zu etwa einigen Mikrometern, beispielsweise im Bereich von etwa 50 nm bis etwa 10 µm, beispielsweise im Bereich von etwa 100 nm bis etwa 1 µm, liegen. Die Hohlkammer 104 kann einen Zwischenraum oder eine Zwischenraumstruktur zwischen dem ersten Gebiet 102a des Trägers 100 und dem zweiten Gebiet 102b des Trägers 100 bereitstellen, so dass es außer der Tragstruktur 108 keine andere mechanische Verbindung geben kann, die sich vertikal zwischen dem ersten Gebiet 102a des Trägers 100 und dem zweiten Gebiet 102b des Trägers 100 erstreckt.
  • Gemäß verschiedenen Ausführungsformen können das erste Gebiet 102a des Trägers 100 und/oder das zweite Gebiet 102b des Trägers 100 Silicium, beispielsweise dotiertes Silicium, aufweisen oder daraus bestehen.
  • 1B zeigt eine Draufsicht des Trägers 100, entsprechend der Seitenansicht oder der Schnittansicht, wie in 1A dargestellt. Die Hohlkammer 104 und das erste Gebiet 102a können bei Betrachtung von oben eine rechteckige Form aufweisen. Ferner kann die Hohlkammer 104 und dementsprechend das erste Gebiet 102a irgendeine andere verarbeitbare Form aufweisen, die unter Verwendung von Halbleiterstrukturierungsprozessen bereitgestellt werden kann, beispielsweise eine quadratische Form, eine Kreisform, eine polygonale Form und dergleichen. Gemäß verschiedenen Ausführungsformen kann die Hohlkammer 104 die Tragstruktur 108, beispielsweise vollständig, lateral umgeben. Wie gezeigt, kann die laterale Abmessung 109 der Hohlkammer 104 entlang der Richtung 103 die laterale Abmessung 103a des ersten Gebiets 102a des Trägers 100 entlang der Richtung 103 definieren, wobei die laterale Abmessung 109 der Hohlkammer 104 in einem Bereich von etwa einigen hundert Nanometern bis zu etwa einigen Mikrometern oder bis zu etwa einigen zehn Mikrometern oder bis zu etwa einigen hundert Mikrometern oder bis zu etwa einigen Millimetern oder bis zu etwa einigen Zentimetern oder bis zu etwa einigen zehn Zentimetern (beispielsweise bis zu 30 cm oder sogar noch mehr als 30 cm) liegen kann.
  • Gemäß verschiedenen Ausführungsformen kann die Tragstruktur 108 innerhalb des Trägers 100 vergraben sein, was bedeutet, dass sich die Tragstruktur 108 nicht vertikal durch das erste Gebiet 102a des Trägers 100 erstrecken kann, so dass die obere Fläche 108s der Tragstruktur 108 von einem Teil des ersten Gebiets 102a des Trägers 100 bedeckt sein kann. Alternativ kann sich die Tragstruktur 108, abhängig von der Höhe der Tragstruktur 108 verglichen mit der Höhe 111 der Hohlkammer 104 und der Höhe 105a des ersten Gebiets 102a des Trägers 100, durch das erste Gebiet 102a des Trägers 100 erstrecken, beispielsweise von der Oberfläche 102s des Trägers 100 vorstehen oder an der Oberfläche 102s des Trägers 100 teilweise freigelegt sein.
  • Wie in 1C in einer Seitenansicht oder einer Schnittansicht dargestellt ist, können gemäß verschiedenen Ausführungsformen mehrere Tragstrukturen 108 (beispielsweise mehrere Säulen) in der Hohlkammer 104 des Trägers 100 angeordnet oder gebildet werden. Die Hohlkammer 104 und die mehreren Tragstrukturen 108 innerhalb der Hohlkammer 104 können eine SON-Struktur oder eine SOI-Struktur bereitstellen. Gemäß verschiedenen Ausführungsformen können mehrere Tragstrukturen 108 innerhalb der Hohlkammer 104 angeordnet sein, beispielsweise jeweils lateral frei von Material sein (beispielsweise von festem Material, beispielsweise Material des Trägers), weil sie innerhalb der Hohlkammer (des Hohlraums) 104 angeordnet sind.
  • Gemäß verschiedenen Ausführungsformen können die eine oder die mehreren Tragstrukturen 108, die innerhalb der Hohlkammer 104 angeordnet sind, als eine Tragstruktur oder eine Tragstrukturanordnung zum Stabilisieren des ersten Gebiets 102a des Trägers 100, das sich über der einen oder den mehreren Tragstrukturen 108 erstreckt, angesehen werden. Die eine oder die mehreren Tragstrukturen 108 und die Hohlkammer 104 können das erste Gebiet 102a des Trägers 100, beispielsweise entlang der vertikalen Richtung 105, elektrisch isolieren.
  • 1D zeigt eine Draufsicht des Trägers 100 entsprechend der Seitenansicht oder Schnittansicht, die in 1C dargestellt ist. Der Träger 100 kann ein Siliciumwafer mit beispielsweise einem Durchmesser von bis zu 300 mm oder einem Durchmesser von sogar noch mehr als 300 mm sein. Wie in 1D dargestellt ist, kann das erste Gebiet 102a eine Kreisform aufweisen. Die Tragstrukturen 108 können in der Hohlkammer 104 angeordnet sein, wobei sie sich jeweils vom zweiten Gebiet 102b des Trägers 100 zum ersten Gebiet 102a des Trägers 100 erstrecken. Der laterale Abstand zwischen zwei jeweils benachbarten Tragstrukturen 108 (mit anderen Worten der Abstand zum nächsten Nachbarn) kann im Bereich von etwa einigen zehn Mikrometern bis zu etwa einigen hundert Mikrometern liegen. Beispielsweise kann der Abstand zwischen den Tragstrukturen 108 die mechanische Stabilität des ersten Gebiets 102a des Trägers 100 beeinflussen, so dass der Abstand zwischen den Tragstrukturen 108 an die gewünschten Anforderungen angepasst werden kann, beispielsweise abhängig vom jeweiligen Verfahren zur Verarbeitung des Trägers.
  • Gemäß verschiedenen Ausführungsformen kann während einer Wärmebehandlung des Trägers 100, welche beispielsweise das Erwärmen des Trägers auf Temperaturen im Bereich von etwa 500 °C bis etwa 1400 °C aufweist, das Trägermaterial, beispielsweise Silicium, damit beginnen, zu migrieren und/oder zu diffundieren, und kann das erste Gebiet 102a des Trägers 100 beispielsweise seine mechanische Stabilität verlieren, was zu einem Kollabieren der Hohlkammer 104 führen könnte. Mit Bezug hierauf können die eine oder die mehreren Tragstrukturen 108 eine mechanische Unterstützung bereitstellen, so dass eine Hohlkammer 104 mit einer großen lateralen Abmessung, beispielsweise bis zu einigen Zentimetern, innerhalb des Trägers bereitgestellt werden kann. 1E zeigt einen Träger 100 in einer schematischen Seitenansicht oder einer Schnittansicht gemäß verschiedenen Ausführungsformen, wobei der Träger 100 ferner eine Grabenstruktur 106 aufweisen kann, die sich von der Fläche 102s des Trägers 100 bis zur Hohlkammer 104 und lateral das erste Gebiet 102a des Trägers 100 begrenzend erstreckt, wobei die Grabenstruktur 106 einen oder mehrere Gräben aufweisen kann, die sich von der Fläche 102s des Trägers 100 zur Hohlkammer 104 erstrecken. Ferner kann die Grabenstruktur 106 eine oder mehrere laterale Tragstrukturen (nicht dargestellt) aufweisen, welche den einen oder die mehreren Gräben schneiden und das erste Gebiet 102a des Trägers 100 mit einem dritten Gebiet 102c des Trägers 100 außerhalb der Grabenstruktur 106 verbinden können. Die Grabenstruktur 106 kann das erste Gebiet 102a des Trägers 100 lateral trennen und/oder lateral elektrisch isolieren. Daher kann das erste Gebiet 102a des Trägers 100 vollständig elektrisch vom Rest des Trägers 100 isoliert sein (beispielsweise vom zweiten Gebiet 102b und vom dritten Gebiet 102c des Trägers 100). Gemäß verschiedenen Ausführungsformen kann das erste Gebiet 102a des Trägers 100 durch die Tragstruktur 108 innerhalb der Hohlkammer 104 gehalten (beispielsweise ausschließlich gehalten) werden.
  • Gemäß verschiedenen Ausführungsformen können die Grabenstruktur 106 oder der eine oder die mehreren Gräben, die in der Grabenstruktur 106 enthalten sind, das erste Gebiet 102a des Trägers 100 von einem dritten Gebiet 102c des Trägers 100 lateral trennen, welches das erste Gebiet 102a des Trägers 100 umgibt (wobei das dritte Gebiet 102c die Grabenstruktur 106 umgibt). Die Breite des einen oder der mehreren Gräben oder die Breite der Grabenstruktur 106 kann im Bereich von etwa einigen zehn Nanometern bis zu etwa einigen Mikrometern, beispielsweise im Bereich von etwa 10 nm bis etwa 10 µm, beispielsweise im Bereich von etwa 50 nm bis etwa 1 µm, liegen.
  • Wie in 1F dargestellt ist, kann das erste Gebiet 102a des Trägers 100 keinen direkten Kontakt mit dem Rest des Trägers 100 oder dem Rest des Oberflächengebiets 102 haben, wobei das erste Gebiet 102a des Trägers 100 durch die eine oder die mehreren Tragstrukturen 108 mechanisch befestigt (oder gehalten) werden kann. Daher kann das erste Gebiet 102a des Trägers 100 vom Rest des Trägers 100 oder vom Rest des Oberflächengebiets 102 elektrisch isoliert sein (wobei der Rest des Trägers 100 beispielsweise als das dritte Gebiet 102c und das zweite Gebiet 102b des Trägers 100 angesehen werden kann). Ferner kann das erste Gebiet 102a des Trägers 100 durch wenigstens eine Tragstruktur 108, beispielsweise durch eine einzelne Tragstruktur 108, wie in 1E dargestellt ist, oder durch mehrere Tragstrukturen 108, wie in 1F dargestellt ist, mit dem zweiten Gebiet 102b des Trägers 100 verbunden sein. Es kann mehrere mögliche Modifikationen zum Verbinden des ersten Gebiets 102a des Trägers 100 mit dem zweiten Gebiet 102b des Trägers 100 durch eine oder mehrere Tragstrukturen 108 geben.
  • Weil gemäß verschiedenen Ausführungsformen das erste Gebiet 102a des Trägers 100 durch die eine oder die mehreren Tragstrukturen 108 gehalten werden kann, kann das erste Gebiet 102a durch eine oder mehrere Grabenstrukturen 106 analog mit dem, was mit Bezug auf die 1E und 1F beschrieben wurde, in mehr als ein Untergebiet getrennt werden, wobei jedes Untergebiet durch wenigstens eine Tragstruktur 108 am zweiten Gebiet 102b des Trägers 100 angebracht werden kann.
  • Gemäß verschiedenen Ausführungsformen können die Hohlkammer 104 und die Grabenstruktur 106 das erste Gebiet 102a des Trägers 100 elektrisch trennen (und auch räumlich trennen). Daher kann das erste Gebiet 102a des Trägers 100 ein Siliciumgebiet auf einem Isolator sein (der Isolator kann in diesem Fall der räumliche Abstand sein, der durch die Hohlkammer 104 und die elektrisch isolierende Tragstruktur 108 bereitgestellt ist), wobei dies als SOI oder SOI-Struktur bezeichnet werden kann. Ferner kann das erste Gebiet 102a des Trägers 100 ein Siliciumgebiet auf nichts sein (wobei nichts in diesem Fall der räumliche Abstand sein kann, der durch die Hohlkammer 104 bereitgestellt wird), was als SON oder SON-Struktur bezeichnet werden kann. In Bezug hierauf kann die Hohlkammer 104 von einem festen Material frei sein (abgesehen vom Material der einen oder mehreren Tragstrukturen 108), wobei die Hohlkammer 104 beispielsweise ein leerer Raum sein kann oder einen leeren Raum aufweisen kann. Ferner kann die Grabenstruktur 106 frei von einem festen Material sein.
  • Gemäß verschiedenen Ausführungsformen können die Innenwände der Hohlkammer 104 und/oder die Seitenwände des einen oder der mehreren Gräben der Grabenstruktur 106 mit einem zusätzlichen Material, beispielsweise mit einem elektrisch isolierenden Material, beispielsweise mit einem Oxid, beispielsweise mit Siliciumoxid, bedeckt werden. Die Hohlkammer 104 und/oder die Grabenstruktur 106 kann jedoch einen Zwischenraum zwischen dem ersten Gebiet 102a des Trägers 100 und dem Rest des Trägers bereitstellen. Beispielsweise kann dieser Zwischenraum das erste Gebiet 102a des Trägers 100 begrenzen und nur durch die eine oder die mehreren Tragstrukturen 108 oder möglicherweise (gemäß einer oder mehreren Ausführungsformen) zusätzlich durch die vorstehend erwähnte eine oder mehrere laterale Tragstrukturen der Grabenstruktur 106 (nicht dargestellt) unterbrochen (intermittiert oder überbrückt) sein.
  • Gemäß verschiedenen Ausführungsformen können die Hohlkammer 104 und/oder die Grabenstruktur 106 vollständig oder teilweise mit einem elektrisch isolierenden Material, beispielsweise mit Siliciumoxid, gefüllt werden, wobei das Material innerhalb der Hohlkammer 104 und/oder innerhalb der Grabenstruktur 106 durch einen ALD-Prozess oder einen LPCVD-Prozess abgeschieden werden kann. Dies kann es ermöglichen, ein elektrisch isolierendes Material bereitzustellen, welches das erste Gebiet 102a des Trägers 100 umgibt, ohne mechanische Spannungen oder Dehnungen in das erste Gebiet 102a einzubringen. Andere Prozesse, wie beispielsweise das thermische Oxidieren einer Tragstruktur 108 und damit das Bereitstellen beispielsweise einer Siliciumoxidtragstruktur 108 unterhalb des ersten Gebiets 102a des Trägers 100, können mechanische Spannungen oder Dehnungen in die SOI-Struktur oder die SON-Struktur einbringen, weil sich die Silicium enthaltende Tragstruktur 108 während des Oxidationsprozesses ausdehnen kann.
  • Gemäß verschiedenen Ausführungsformen können die eine oder die mehreren Tragstrukturen 108 aus einem Oxid (beispielsweise Siliciumoxid) bestehen, so dass die Tragstrukturen 108 leicht brechen können, falls eine ausreichend hohe Kraft angewendet wird. Die eine oder die mehreren Tragstrukturen 108 können eine Befestigungsstruktur für das Befestigen des ersten Gebiets 102a des Trägers 100 am Träger bereitstellen, und gleichzeitig können die eine oder die mehreren Tragstrukturen 108 einen vordefinierten Abbrechpunkt bereitstellen, falls das erste Gebiet 102a vom Träger entfernt werden soll. Gemäß verschiedenen Ausführungsformen kann der Träger 100, wie hier beschrieben, einschließlich des ersten Gebiets 102a, das vom Träger 100 durch die Hohlkammer 104, die wenigstens eine Tragstruktur 108 und optional die Grabenstruktur 106 getrennt und/oder elektrisch isoliert ist, einen Ausgangspunkt für die Herstellung einer elektronischen Vorrichtung bereitstellen.
  • Nachdem der Träger 100 verarbeitet wurde, wie hier beschrieben, können eine elektronische Schaltung (oder ein mikro-elektromechanisches System oder ein Sensor oder eine andere Komponente, die in der Halbleitertechnologie verarbeitbar ist) über und/oder im ersten Gebiet 102a des Trägers 100 gebildet werden. Mit anderen Worten kann eine erste elektronische Schaltung (oder ein mikro-elektromechanisches System oder ein Sensor oder eine andere Komponente, die in der Halbleitertechnologie verarbeitbar ist) über und/oder im ersten Gebiet 102a des Trägers 100 angeordnet werden. Ferner kann eine zweite elektronische Schaltung (oder ein mikro-elektromechanisches System oder ein Sensor oder eine andere Komponente, die in der Halbleitertechnologie verarbeitbar ist) über und/oder im dritten Gebiet 102c außerhalb der Grabenstruktur 106 (oder im zweiten Gebiet 102b) angeordnet werden. Dadurch kann die Grabenstruktur 106 die erste elektronische Schaltung (oder ein mikro-elektromechanisches System oder einen Sensor oder eine andere Komponente, die in der Halbleitertechnologie verarbeitbar ist) lateral von der zweiten elektronischen Schaltung (oder einem mikro-elektromechanischen System oder einem Sensor oder einer anderen Komponente, die in der Halbleitertechnologie verarbeitbar ist) isolieren.
  • Gemäß verschiedenen Ausführungsformen kann eine Trennung (oder eine Trennung eines ersten Gebiets im Träger) eine elektrische Isolation (oder das Bereitstellen einer elektrischen Isolation) einschließen, so dass kein erheblicher Stromfluss zwischen den getrennten Strukturen möglich sein kann. Ferner kann eine Trennung eine räumliche Trennung einschließen, beispielsweise durch Bereitstellen eines Zwischenraums oder eines Leerraums.
  • Aus mehreren Gründen, wie in 1G dargestellt ist, kann es erwünscht sein, eine oder mehrere Komponenten 122 (beispielsweise elektronische Schaltungen oder elektronische Komponenten oder mechanische Komponenten) über und/oder im ersten Gebiet 102a des Trägers 100 zu bilden, wobei die eine oder die mehreren Komponenten 122 vom Rest des Trägers getrennt werden können, weil die eine oder die mehreren Komponenten 122 (beispielsweise einschließlich eines Sensors, eines Schalters, einer Logikschaltung, eines Mikroprozessors, eines MEMS und dergleichen) beispielsweise unter spezifischen Betriebsbedingungen betrieben werden müssen. Eine Niederspannungs- oder Niederleistungskomponente 122 kann beispielsweise in eine Leistungsvorrichtung, beispielsweise einen IGBT, einen Leistungs-MOSFET und dergleichen, integriert werden, wobei die Niederspannungs- oder die Niederleistungskomponente 122 von der Leistungsvorrichtung (beispielsweise durch die Grabenstruktur 106, die Hohlkammer 104 und die Tragstruktur 108) getrennt werden kann, die im Rest des Trägers 100 angeordnet ist (beispielsweise im dritten Gebiet 102c und/oder im zweiten Gebiet 102b).
  • Beispielsweise kann der Träger 100, wie hier beschrieben, das Bereitstellen einer ersten elektronischen Komponente und einer zweiten elektronischen Komponente in einem einzigen Träger oder in einem einzigen Halbleitersubstrat ermöglichen, wobei die beiden elektronischen Komponenten unterschiedliche Betriebsbedingungen (beispielsweise Betriebsspannungen, Betriebsströme und dergleichen) erfordern können, wobei der Träger 100 beispielsweise die Integration eines Sensors (beispielsweise eines Temperatursensors) in eine leistungselektronische Vorrichtung, um eine direkte Messung des tatsächlichen Zustands der leistungselektronischen Vorrichtung bereitzustellen, das Integrieren einer Strom- und/oder Spannungsmessstruktur in eine leistungselektronische Vorrichtung, um die elektronischen Eigenschaften der leistungselektronischen Vorrichtung genauer zu bestimmen, und/oder das Integrieren einer Schalterstruktur oder einer Steuerschaltung (beispielsweise einer Logikschaltung) in eine leistungselektronische Vorrichtung, um den Betrieb der leistungselektronischen Vorrichtung zu steuern, ermöglichen kann.
  • Gemäß verschiedenen Ausführungsformen kann eine erste elektronische Komponente (beispielsweise ein Sensor, eine Logikschaltung, ein Schaltkreis, eine Steuerschaltung und/oder eine Messschaltung) über und/oder im ersten Gebiet 102a des Trägers 100 angeordnet oder ausgebildet sein und kann eine zweite elektronische Komponente (beispielsweise eine leistungselektronische Komponente in der Art einer Diode, eines Bipolar-Sperrschichttransistors, eines Bipolartransistors mit isoliertem Gate, eines Leistungs-MOSFETs (eines Leistungs-Metall-Oxid-Halbleiter-(MOS)-Feldeffekttransistors (FET)), eines Thyristors, eines Gate-Turn-off-Thyristors, eines MOS-gesteuerten Thyristors, eines integrierten Gate-kommutierten Thyristors (IGCT) und dergleichen) über und/oder im dritten Gebiet 102c und/oder im zweiten Gebiet 102b des Trägers 100 angeordnet oder ausgebildet sein. Gemäß verschiedenen Ausführungsformen kann die zweite elektronische Komponente dafür ausgelegt sein, unter anderen Betriebsbedingungen zu arbeiten als die erste elektronische Komponente, beispielsweise in einem anderen Spannungsbereich und/oder in einem anderen Strombereich. Gemäß verschiedenen Ausführungsformen kann die zweite elektronische Komponente eine Halbleiterleistungskomponente sein, die in einem Spannungsbereich und/oder einem Strombereich arbeitet, der eine oder mehrere Größenordnungen größer ist als die Betriebsbedingungen der ersten elektronischen Komponente, wobei die zweite elektronische Komponente beispielsweise Spannungen in einem Bereich von etwa 50 V bis etwa 5000 V und/oder Ströme im Bereich von etwa 50 A bis etwa 5000 A behandeln kann, wobei die erste elektronische Komponente eine Logikschaltung oder ein Sensor sein kann, die oder der bei Spannungen unterhalb von etwa 50 V und/oder bei Strömen unterhalb von etwa 50 A arbeitet. Die erste elektronische Komponente (beispielsweise eine Logikschaltung, ein Schaltkreis, eine Messschaltung und/oder ein Temperatursensor) kann Spannungen und/oder Strömen nicht leicht widerstehen, die typischerweise von einer leistungselektronischen Komponente behandelt werden, wobei die erste elektronische Komponente und die zweite elektronische Komponente in einem einzigen Träger angrenzend zueinander angeordnet sein können, weshalb gemäß verschiedenen Ausführungsformen die erste elektronische Komponente von der zweiten elektronischen Komponente durch eine elektrisch isolierende Struktur getrennt sein kann, die im Träger angeordnet ist (beispielsweise durch die Hohlkammer 104, die Grabenstruktur 106 und die wenigstens eine Tragstruktur 108).
  • Ferner kann gemäß verschiedenen Ausführungsformen die erste elektronische Komponente elektrisch mit der zweiten elektronischen Komponente gekoppelt sein, um die zweite elektronische Komponente zu analysieren und/oder zu steuern, beispielsweise durch eine Metallisierungsstruktur, die über dem Träger angeordnet ist, wobei die erste elektronische Komponente und die zweite elektronische Komponente zumindest teilweise im Träger ausgebildet sein können.
  • Gemäß verschiedenen Ausführungsformen kann eine elektronische Vorrichtung einen Träger 100 mit einem getrennten ersten Gebiet 102a aufweisen, wie hier beschrieben. Die elektronische Vorrichtung, wie hier beschrieben, kann eine elektronische Steuerschaltung bereitstellen, die in eine leistungselektronische Komponente integriert ist, wobei die elektronische Steuerschaltung dafür ausgelegt sein kann, die leistungselektronische Komponente zu überwachen und zu steuern, so dass die leistungselektronische Komponente gemäß anderen Aspekten ausgelegt werden kann, was beispielsweise einen kleineren Entwurf ermöglichen kann, wodurch die gleichen Eigenschaften wie jene einer üblichen leistungselektronischen Vorrichtung und/oder eine bessere Funktionalität bei der gleichen Größe wie eine übliche leistungselektronische Vorrichtung erhalten werden. Gemäß verschiedenen Ausführungsformen kann eine Hochspannungsvorrichtung 122 in das erste Gebiet 102a des Trägers 100 integriert werden, wobei die Hochspannungsvorrichtung 122 durch die Hohlkammer 104, die wenigstens eine Tragstruktur 108 vertikal vom zweiten Gebiet 102b des Trägers 100 getrennt sein kann und optional durch die Grabenstruktur 106 lateral vom dritten Gebiet 102c des Trägers 100 getrennt sein kann.
  • Gemäß verschiedenen Ausführungsformen kann eine mikromechanische oder mikro-elektromechanische Vorrichtung 122 in das erste Gebiet 102a des Trägers 100 integriert sein, wobei die mikromechanische oder mikro-elektromechanische Vorrichtung 122 durch die Hohlkammer 104, die wenigstens eine Tragstruktur 108 vertikal vom zweiten Gebiet 102b des Trägers 100 getrennt sein kann und optional durch die Grabenstruktur 106 lateral vom dritten Gebiet 102c des Trägers 100 getrennt sein kann.
  • Gemäß verschiedenen Ausführungsformen kann ein Sensor 122 oder ein Sensor-Array 122 in das erste Gebiet 102a des Trägers 100 integriert sein, wobei der Sensor oder das Sensor-Array durch die Hohlkammer 104, die wenigstens eine Tragstruktur 108 vertikal vom zweiten Gebiet 102b des Trägers 100 getrennt sein kann und optional durch die Grabenstruktur 106 lateral vom dritten Gebiet 102c des Trägers 100 getrennt sein kann. 1H zeigt eine schematische Ansicht und ein Rasterelektronenmikroskopiebild (SEM-Bild) des Trägers 100, beispielsweise nachdem ein Plasmavereinzeln ausgeführt wurde, um beispielsweise vertikal durch das erste Gebiet 102a des Trägers 100 zu schneiden, um zumindest einen Teil des ersten Gebiets 102a des Trägers 100 zu entfernen. Gemäß verschiedenen Ausführungsformen kann das erste Gebiet 102a des Trägers 100 beispielsweise entfernt werden, nachdem eine elektronische Schaltung 122 im ersten Gebiet 102a des Trägers 100 gebildet wurde, wodurch beispielsweise eine elektronische Schaltung 122 auf einem dünnen oder ultradünnen Siliciumgebiet 102a oder dem Siliciumträger 102a bereitgestellt wird. Beim Entfernen des ersten Gebiets 102a vom Träger 100 können die eine oder die mehreren Tragstrukturen 108 unterhalb des ersten Gebiets 102a in der Hohlkammer 104 gebrochen werden. Gemäß verschiedenen Ausführungsformen kann das zweite Gebiet 102b des Trägers 100 unterhalb der Hohlkammer 104 (dem Hohlraum) als der Bulk-Träger 100 angesehen werden oder der Bulk-Träger 100 sein. Das erste Gebiet 102a des Trägers 100 kann das SON, das Silicium auf nichts, sein. Wie in 1H dargestellt ist, kann das SON vom Bulk-Träger 100 abgehoben werden, wobei das SON eine Dicke im Bereich kleiner als etwa 50 µm, beispielsweise kleiner als etwa 40 µm, beispielsweise kleiner als etwa 30 µm, beispielsweise kleiner als etwa 20 µm, beispielsweise kleiner als etwa 10 µm, beispielsweise kleiner als etwa 5 µm, beispielsweise kleiner als etwa 1 µm, aufweisen kann. Daher kann das Verfahren zum Verarbeiten eines Trägers, wie hier beschrieben, das Bereitstellen eines ultradünnen Trägers oder eines ultradünnen Chips aufweisen. Verschiedene Modifikationen und/oder Konfigurationen des Trägers 100 und Einzelheiten, welche sich auf die Hohlkammer 104 und die Tragstruktur 108 beziehen, werden nachfolgend beschrieben, wobei die Merkmale und/oder Funktionalitäten, die mit Bezug auf die 1A bis 1H beschrieben wurden, analog aufgenommen werden können. Ferner können die Merkmale und/oder Funktionalitäten, die nachfolgend beschrieben werden, in den Träger 100 aufgenommen werden oder mit dem Träger 100 kombiniert werden, wie zuvor mit Bezug auf die 1A bis 1H beschrieben. 2A zeigt im oberen Teil eine Draufsicht einer Tragstruktur 108 gemäß verschiedenen Ausführungsformen und im unteren Teil eine entsprechende Schnittansicht der Tragstruktur 108. Gemäß verschiedenen Ausführungsformen kann die Tragstruktur 108 eine zylindrische Form haben oder eine zylindrische Struktur sein, wobei der innere Teil 108c (der Kern) der Tragstruktur 108 zumindest teilweise von einer Überzugstruktur 108r umgeben sein kann. Die Überzugstruktur 108r kann den Kern 108c zumindest teilweise lateral umgeben, wie in 2A dargestellt ist. Analog kann die Tragstruktur 108 ein Prisma (beispielsweise ein gerades Prisma) mit einer polygonalen Basisfläche (beispielsweise dreieckig, quadratisch, hexagonal, oktogonal und dergleichen) aufweisen. 2B zeigt im oberen Teil eine Draufsicht einer Tragstruktur 108 gemäß verschiedenen Ausführungsformen und im unteren Teil eine entsprechende Schnittansicht der Tragstruktur 108, wobei der Kern 108c der Tragstruktur 108 vollständig von der Überzugstruktur 108r umgeben sein kann.
  • Mit Bezug auf die 2A und 2B sei bemerkt, dass der Kern 108c der Tragstruktur 108 und/oder die Überzugstruktur 108r der Tragstruktur 108 wenigstens ein Material aus der folgenden Gruppe von Materialien aufweisen kann: ein elektrisch isolierendes Material, ein elektrisch isolierendes Oxid, Siliciumoxid, ein Nitrid, Siliciumnitrid, ein elektrisch isolierendes Metalloxid, Aluminiumoxid, ein elektrisch isolierendes Metallnitrid, ein elektrisch isolierendes Oxynitrid, Siliciumoxynitrid, ein elektrisch isolierendes Metalloxynitrid, Aluminiumoxynitrid und dergleichen.
  • In dem Fall, dass die Überzugstruktur 108r den Kern 108c der Tragstruktur 108, wie in 2A dargestellt, nicht vollständig bedeckt oder nicht vollständig umgibt, kann der Kern 108c der Tragstruktur 108 ein elektrisch isolierendes Material aufweisen, so dass die Tragstruktur 108 das erste Gebiet 102a des Trägers 100 oberhalb der Hohlkammer 104, welche durch die Tragstruktur 108 getragen wird, elektrisch isolieren kann. Abhängig vom Entwurf der Tragstruktur 108, können die Überzugstruktur 108r und der Kern 108c der Tragstruktur 108 ein elektrisch isolierendes Material aufweisen oder als elektrisch isolierend ausgelegt sein.
  • Falls die Überzugstruktur 108r den Kern 108c der Tragstruktur 108 vollständig bedeckt oder vollständig umgibt, wie in 2B dargestellt ist, kann der Kern 108c der Tragstruktur 108 nicht notwendigerweise ein elektrisch isolierendes Material aufweisen. In diesem Fall kann die Überzugstruktur 108r so bereitgestellt werden, dass die Tragstruktur 108 das erste Gebiet 102a des Trägers 100 oberhalb der Hohlkammer 104, welche durch die Tragstruktur 108 getragen wird, elektrisch isolieren kann. Daher kann die Überzugstruktur 108r ein elektrisch isolierendes Material aufweisen oder aus einem elektrisch isolierenden Material bestehen. Der Kern 108c kann in diesem Fall, weil er von elektrisch isolierendem Material umgeben ist, ein beliebig ausgewähltes Material aufweisen, das in der Halbleitertechnologie verarbeitbar ist, beispielsweise ein Metall, ein Metallnitrid, ein Oxid oder ein halbleitendes Material. Beispielsweise kann der Kern 108c in diesem Fall die mechanische Stabilität der Tragstruktur 108 bereitstellen und kann die Überzugstruktur 108r den Kern 108c der Tragstruktur 108 elektrisch isolieren. Gemäß verschiedenen Ausführungsformen kann die Tragstruktur 108 mehr als einen oder mehr als zwei verschiedene Gebiete aufweisen, wie hier erläutert, weil es eine Vielzahl von Möglichkeiten geben kann, die Tragstrukturen 108 in ähnlicher Weise bereitzustellen.
  • Gemäß verschiedenen Ausführungsformen kann die Tragstruktur 108 ein anderes Material als das die Hohlkammer 104 umgebende (bereitstellende) Material aufweisen. Die Hohlkammer 104 kann beispielsweise ein leerer Raum in Silicium sein, wobei die Tragstruktur 108 Siliciumoxid aufweisen kann. Die innere Seitenwand der Hohlkammer 104 kann beispielsweise oxidiert oder teilweise oxidiert werden, nachdem die Hohlkammer 104 und die Tragstruktur 108 gebildet wurden. In diesem Fall kann die Hohlkammer 104 teilweise mit einem Oxid gefüllt werden. Ferner kann die Hohlkammer 104 in dem Fall, dass die Grabenstruktur 106 mit einem elektrisch isolierenden Material gefüllt oder teilweise gefüllt werden kann, wie zuvor beschrieben, auch teilweise gefüllt werden, weil die Tragstruktur 108 mit der Grabenstruktur 106 verbunden sein kann. 3 zeigt ein schematisches Flussdiagramm eines Verfahrens 300 zur Verarbeitung eines Trägers, wobei das Verfahren Folgendes aufweisen kann: bei 310 Bilden einer oder mehrerer erster Öffnungen in dem Träger, bei 320 zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial, um wenigstens eine Tragstruktur zu bilden, bei 330 Bilden einer Öffnungsstruktur in dem Träger, wobei die Öffnungsstruktur die Tragstruktur zumindest teilweise lateral umgibt, und bei 340 Ausführen eines Temperprozesses, um eine Hohlkammer (einen Hohlraum) und ein Kappengebiet, das die Hohlkammer (den Hohlraum) bedeckt, aus der Öffnungsstruktur zu bilden, wobei die Hohlkammer (der Hohlraum) und das Kappengebiet die wenigstens eine Tragstruktur zumindest teilweise lateral umgeben, wobei das Kappengebiet mit der wenigstens einen Tragstruktur verbunden ist.
  • Gemäß verschiedenen Ausführungsformen können der Prozess 310 zur Bildung einer oder mehrerer erster Öffnungen im Träger und der Prozess 320 zum zumindest teilweisen Füllen der einen oder mehreren ersten Öffnungen mit einem Füllmaterial wenigstens eine Tragstruktur 108, wie hier beschrieben, oder beispielsweise ähnlich dem, was mit Bezug auf die 1A bis 1G, 2A und 2B beschrieben wurde, bereitstellen. Gemäß verschiedenen Ausführungsformen können der Prozess 330 zur Bildung einer Öffnungsstruktur im Träger und der Prozess 340 zum Ausführen eines Temperprozesses eine Hohlkammer 104 (einen Hohlraum 104), wie hier beschrieben, oder beispielsweise ähnlich wie mit Bezug auf die 1A bis 1G, 2A und 2B beschrieben wurde, bereitstellen.
  • Gemäß verschiedenen Ausführungsformen kann das Bilden einer oder mehrerer erster Öffnungen im Träger das Ausführen eines Strukturierungsprozesses (beispielsweise eines Lithographieprozesses und eines Ätzprozesses) der Oberfläche des Trägers aufweisen. Ferner kann das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial einen Schichtbildungsprozess aufweisen. Gemäß verschiedenen Ausführungsformen kann das Bilden einer Öffnungsstruktur im Träger das Bilden mehrerer zweiter Öffnungen aufweisen, wobei das Bilden einer Öffnungsstruktur im Träger einen Strukturierungsprozess aufweisen kann. Gemäß verschiedenen Ausführungsformen können die eine oder die mehreren ersten Öffnungen und die Öffnungsstruktur (eine oder mehrere zweite Öffnungen) in einem einzigen Strukturierungsprozess gebildet werden. Ferner können die eine oder die mehreren ersten Öffnungen mit dem Füllmaterial gefüllt werden, bevor der Temperprozess ausgeführt wird.
  • 4A zeigt einen Träger 100 in einer Schnittansicht während der Verarbeitung, beispielsweise nachdem eine oder mehrere erste Öffnungen 402 im Träger 100 gebildet wurden (eine erste Öffnung ist dargestellt). Der Träger 100 kann ein Siliciumwafer, ein Siliciumsubstrat oder ein Silicium aufweisender Träger sein. Die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) kann eine Breite 402w im Bereich von etwa einigen zehn Nanometern bis zu etwa einigen zehn Mikrometern, beispielsweise eine Breite im Bereich von etwa 30 nm bis etwa 5 µm, aufweisen. Ferner kann die erste Öffnung (können die eine oder die mehreren ersten Öffnungen 402) eine Tiefe 402d im Bereich von etwa einigen zehn Nanometern bis zu etwa einigen Mikrometern, beispielsweise eine Tiefe im Bereich von etwa 30 nm bis etwa 50 µm, aufweisen. In Bezug hierauf kann die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) ein Aspektverhältnis (Tiefe 402d/Breite 402w) im Bereich von etwa 2 bis etwa 20 aufweisen. Gemäß verschiedenen Ausführungsformen kann die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) wenigstens eine der folgenden sein: eine erste Aussparung (eine oder mehrere erste Aussparungen 402), ein erster Graben (ein oder mehrere erste Gräben 402), ein erstes Loch (ein oder mehrere erste Löcher 402). Die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) kann sich im Wesentlichen vertikal in den Träger 100 erstrecken, wobei im Wesentlichen vertikal eine Abweichung von etwa ±10° einschießen kann. Die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) kann sich schräg unter einem gewünschten Winkel in den Träger 100 erstrecken. Dabei kann sich die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) in den Träger erstrecken, wobei die definierte Tiefe 402d erreicht wird. Gemäß verschiedenen Ausführungsformen kann die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) eine beliebige verarbeitbare Form aufweisen, beispielsweise eine prismatische Form, eine zylindrische Form oder dergleichen. Gemäß verschiedenen Ausführungsformen können die Größe, die Form und die Position der ersten Öffnung (der einen oder der mehreren ersten Öffnungen 402) die Form der durch die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) gebildeten Tragstruktur 108 definieren. Daher können die Größe, die Form und die Position der ersten Öffnung (der einen oder der mehreren ersten Öffnungen 402) eingerichtet werden, um die gewünschte Tragstruktur 108 bereitzustellen, wie hier beschrieben.
  • 4B zeigt den Träger 100 in einer Schnittansicht während der Verarbeitung, beispielsweise nachdem die erste Öffnung (die eine oder die mehreren ersten Öffnungen 402) zumindest teilweise mit einem Füllmaterial 408 gefüllt wurde. Das Füllmaterial 408 kann beispielsweise ein elektrisch isolierendes Material, beispielsweise ein elektrisch isolierendes Oxid, Siliciumoxid, ein Nitrid, Siliciumnitrid, ein elektrisch isolierendes Metalloxid, Aluminiumoxid, ein elektrisch isolierendes Metallnitrid, ein elektrisch isolierendes Oxynitrid, Siliciumoxynitrid, ein elektrisch isolierendes Metalloxynitrid, Aluminiumoxynitrid und dergleichen aufweisen, wie bereits für die Tragstruktur 108 beschrieben wurde. Alternativ können die eine oder die mehreren ersten Öffnungen 402 vollständig mit dem Füllmaterial gefüllt werden. Ferner können die eine oder die mehreren ersten Öffnungen 402 mit mehr als einem Material gefüllt werden, wodurch die Tragstruktur 108 bereitgestellt wird, wie mit Bezug auf die 2A und 2B beschrieben. Beispielsweise kann das Füllmaterial 408 die Tragstruktur 108 oder einen Teil der Tragstruktur 108 bereitstellen, so dass die Tragstruktur 108 während eines Hochtemperaturprozesses, beispielsweise während eines Temperns bei einer Temperatur oberhalb von etwa 800 °C oder beispielsweise oberhalb von etwa 900 °C oder beispielsweise oberhalb von etwa 1000 °C oder beispielsweise oberhalb von etwa 1100 °C stabil sein kann (beispielsweise in der Größe, in der Form und/oder in der Position).
  • Gemäß verschiedenen Ausführungsformen können wenigstens eine Seitenwand oder alle Seitenwände der einen oder der mehreren ersten Öffnungen 402, bevor die eine oder die mehreren ersten Öffnungen 402 mit einem Füllmaterial gefüllt werden können, mit einem Überzugmaterial bedeckt werden, wodurch Überzugstruktur 108r bereitgestellt wird, wie vorstehend beschrieben wurde.
  • 4C zeigt den Träger 100 in einer Schnittansicht während der Verarbeitung, beispielsweise nachdem die Öffnungsstruktur 404 (die eine oder die mehreren zweiten Öffnungen 404) gebildet wurde. Die Öffnungsstruktur 404 kann so gebildet werden, dass die Hohlkammer 104 gebildet werden kann, nachdem ein Tempern der Öffnungsstruktur 404 ausgeführt wurde. Beispielsweise kann die Öffnungsstruktur 404 die Quellenstruktur für einen Venetia-Prozess zur Bildung der Hohlkammer 104 sein. Die Öffnungsstruktur 404 kann eine Anordnung von wenigstens einer der folgenden aufweisen: Aussparungen, Löcher, Gräben, Hohlräume und dergleichen (vergl. 6A und 6B).
  • Gemäß verschiedenen Ausführungsformen kann die Öffnungsstruktur 404 die erste Öffnung 402 oder die eine oder die mehreren ersten Öffnungen 402 lateral umgeben. Die Tiefe 404d der zweiten Öffnungen der Öffnungsstruktur 404 kann kleiner sein als die Tiefe 402d der ersten Öffnung 402.
  • Wie in 4D in einem SEM-Bild des Trägers 100 in einer Draufsicht (links) und einer perspektivischen Ansicht (rechts) dargestellt ist, kann die Tragstruktur 108, 408 innerhalb einer Öffnungsstruktur 404 gebildet werden. Es kann eine Vielzahl von Modifikationen zum Bereitstellen der Öffnungsstruktur 404 und zum Bereitstellen der Tragstruktur 108 innerhalb der Öffnungsstruktur 404 geben.
  • 4E zeigt den Träger 100 in einer Schnittansicht während der Verarbeitung, beispielsweise nachdem ein Tempern ausgeführt wurde (vergl. 6B). Das Tempern der Öffnungsstruktur 404 kann die Hohlkammer 104 bilden (Venetia-Prozess). Wie bereits beschrieben wurde, kann die während des Temperns gebildete Hohlkammer 104 die Tragstruktur 108 lateral umgeben, weil die anfängliche Öffnungsstruktur 404 die gefüllte erste Öffnung 402 lateral umgebend bereitgestellt werden kann. Nach dem Tempern kann die Tragstruktur 108, abhängig von der Tiefe 404d der zweiten Öffnung der Öffnungsstruktur 404 und von der Füllung der ersten Öffnung, von der Fläche 102s des Trägers 100 vorstehen, oder dies kann nicht der Fall sein. Während des Temperns kann eine SON-Struktur gebildet werden (beispielsweise infolge einer Diffusion und/oder Migration von Material der Öffnungsstruktur 404), wodurch ein Hohlraum 104 (die Hohlkammer 104) und ein Kappengebiet 102a (das erste Gebiet 102a des Trägers 100), wodurch der Hohlraum 104 bedeckt wird, bereitgestellt wird, wobei der Hohlraum 104 und das Kappengebiet 102a die Tragstruktur 108 zumindest teilweise lateral umgeben, wobei das Kappengebiet 102a mit der Tragstruktur verbunden wird. Beispielsweise kann das Kappengebiet 102a während seiner Bildung (beispielsweise während des Temperns) und nachdem das Kappengebiet 102a gebildet wurde, über die Tragstruktur 108 stabilisiert werden, und die Hohlkammer 104 würde beispielsweise während des Temperns kollabieren, falls die Tragstruktur 108 während des Temperns keine stabile Struktur bereitstellen würde. Beispielsweise kann das Bereitstellen der Tragstruktur 108 innerhalb der Öffnungsstruktur das Bilden einer Hohlkammer 104 innerhalb des Trägers 100 mit einer größeren lateralen Abmessung unter Verwendung des Venetia-Prozesses ermöglichen.
  • Gemäß verschiedenen Ausführungsformen kann die Fläche 102s des Trägers 100 während des Temperns gebildet werden. Ferner kann, wie in 4F in einer Schnittansicht dargestellt ist, das Kappengebiet 102a (das erste Gebiet 102a des Trägers 100) vergrößert werden, beispielsweise mit einer zusätzlichen Materialschicht 402, beispielsweise einer epitaxialen Siliciumschicht, bedeckt werden. Gemäß verschiedenen Ausführungsformen können das Kappengebiet 102a und die über dem Kappengebiet 102a gebildete zusätzliche Materialschicht 402 das erste Gebiet 102a des Trägers 100 über der Hohlkammer 104 bereitstellen, wie bereits beschrieben. Das Bilden einer zusätzlichen Materialschicht 402 über dem Kappengebiet 102a kann eine neue Fläche 102s des Trägers 100 bereitstellen.
  • Abhängig von der Bildung der Hohlkammer 104, kann die Bildung einer zusätzlichen Materialschicht 402 über dem Kappengebiet 102a optional sein.
  • Wie in 4G in einem SEM-Bild des Trägers 100 in einer perspektivischen Ansicht (links) und in einer Schnittansicht (rechts) dargestellt ist, können die eine oder die mehreren Tragstrukturen 108 innerhalb der Hohlkammer 104 (des Hohlraums 104) angeordnet werden. Die Hohlkammer 104 kann sich lateral unterhalb der Oberfläche des Trägers 100 erstrecken (von der Oberfläche beabstandet sein), wodurch eine Silicium-auf-nichts-Struktur 102a bereitgestellt wird.
  • Verschiedene Modifikationen und/oder Konfigurationen des Verfahrens zur Verarbeitung eines Trägers werden nachfolgend beschrieben, wobei die bereits mit Bezug auf die 4A bis 4G beschriebenen Merkmale und/oder Funktionalitäten analog aufgenommen werden können. Ferner können die nachfolgend beschriebenen Merkmale und/oder Funktionalitäten in das Verfahren zur Verarbeitung eines Trägers aufgenommen werden, wie zuvor mit Bezug auf die 4A bis 4G beschrieben.
  • In den 5A und 5B ist ein schematischer Prozessablauf eines Verfahrens zur Verarbeitung eines Trägers in einer Schnittansicht (links) und einer Draufsicht (rechts) dargestellt. Die Nummerierung kann eine mögliche Verarbeitungssequenz veranschaulichen.
  • Erstens kann bei 510 eine erste Öffnung 402 innerhalb des Trägers 100 gebildet werden. Die erste Öffnung 402 kann in den Träger 100 geätzt werden (beispielsweise durch Bilden einer strukturierten Maskenschicht über dem Träger 100 und teilweises Ätzen des Trägers, wodurch die erste Öffnung 402 gebildet wird). Anschließend kann bei 520 eine Überzugschicht 408r (welche die Überzugstruktur 108r der Tragstruktur 108 bereitstellt) über dem Träger 100 gebildet werden, wodurch zumindest die inneren Seitenwände der ersten Öffnung 402 bedeckt werden. Die Überzugschicht kann durch Anwenden eines konformen Abscheidungsprozesses gebildet werden. Nachdem die Überzugschicht 408r gebildet wurde, kann eine Kernmaterialschicht 408c über dem Träger gebildet werden, wodurch die Überzugschicht 408r bedeckt wird (wodurch der Kern 108c der Tragstruktur 108 bereitgestellt wird). In dem Fall, in dem die Überzugschicht aus einem elektrisch isolierenden Material besteht, kann das Kernmaterial Polysilicium aufweisen. Anschließend können bei 530 die Kernmaterialschicht 408c und die Überzugschicht 408r teilweise entfernt werden, wodurch die obere Fläche 102s des Trägers 100 freigelegt wird. Anschließend kann das verbleibende Kernmaterial in der ersten Öffnung mit Überzugmaterial bedeckt werden, beispielsweise um den Kern 108c der Tragstruktur 108 vollständig mit der Überzugstruktur 108r zu umgeben. Gemäß verschiedenen Ausführungsformen kann der Träger 100 einem oder mehreren CMP-Prozessen zum teilweisen Entfernen der Überzugschicht und des Kernmaterials unterzogen werden. Gemäß verschiedenen Ausführungsformen kann eine Tragstruktur 108 innerhalb des Trägers 100, nachdem die Prozesse 510, 520 und 530 ausgeführt wurden, als Ergebnis erhalten werden.
  • Zweitens kann die Öffnungsstruktur 404 (die Venetia-Grabenanordnung), wie in 5B bei 540 dargestellt, im Träger neben der Tragstruktur 108 und diese lateral umgebend gebildet werden. Wie in der Draufsicht dargestellt ist, kann die Öffnungsstruktur 404 mehrere Löcher (beispielsweise eine prismatische Form) aufweisen, die in einem hexagonalen Gitter angeordnet sind. Gemäß verschiedenen Ausführungsformen kann die Öffnungsstruktur 404 durch Anwenden eines Strukturierungsprozesses gebildet werden, wie bereits beschrieben wurde. Alternativ können andere Entwürfe für eine Öffnungsstruktur für einen Venetia-Prozess möglich sein.
  • Die Öffnungsstruktur 404 kann im selben Strukturierungsprozess wie die erste Öffnung 402 gebildet werden. In diesem Fall kann die Öffnungsstruktur 404 bedeckt werden, während die erste Öffnung 402 gefüllt wird. In diesem Fall kann die erste Öffnung 402 eine Öffnung von den mehreren Öffnungen der Öffnungsstruktur 404 sein. Anschließend kann bei 550 ein Temperprozess ausgeführt werden, wobei die Öffnungsstruktur 404 einer Wärmebehandlung in einer Wasserstoff enthaltenden Atmosphäre unterzogen werden kann. Dadurch wird die Hohlkammer 104, der so genannte Hohlraum oder vergrabene Hohlraum, aus der Öffnungsstruktur 404 gebildet. Die Bildung der Hohlkammer 104 kann zur Bildung des Kappengebiets 102a (des ersten Gebiets 102a des Trägers 100) oberhalb der Hohlkammer 104 führen, wobei das Kappengebiet 102a durch die Tragstruktur 108 getragen (gestützt, gehalten) werden kann. Anschließend kann bei 560 eine epitaxial aufgewachsene Siliciumschicht 402 über dem Kappengebiet 102a, beispielsweise unter Verwendung von LPCVD oder ALD, gebildet werden. Dabei kann das Siliciumgebiet 102a über der Hohlkammer 104 auf die gewünschte Dicke vergrößert werden.
  • Nachdem die Prozesse 510 bis 560 ausgeführt wurden, kann ein Träger 100 bereitgestellt werden, wie zuvor beschrieben wurde. Die Fläche 102a des Trägers 100 kann eine Siliciumfläche sein, die bereit ist, um in einer Halbleitertechnologie weiterverarbeitet zu werden. Optional kann der Träger 100 für eine Weiterverarbeitung bereit sein (beispielsweise zur Bildung einer elektronischen Schaltung über und/oder im Kappengebiet 102a).
  • Gemäß verschiedenen Ausführungsformen können in den folgenden 6A und 6B Einzelheiten für einen Prozess zur Bildung einer Hohlkammer 104 im Träger 100 bereitgestellt und/oder erläutert werden. Dieser Prozess kann zur Bildung einer Hohlkammer 104 im Träger verwendet werden, wodurch ein Kappengebiet 102a vom Träger 100 getrennt wird (beispielsweise ein erstes Gebiet 102a von einem zweiten Gebiet 102b des Trägers 100 getrennt wird).
  • Gemäß verschiedenen Ausführungsformen kann die Bildung der Hohlkammer 104 innerhalb des Trägers 100 Folgendes aufweisen: Bilden einer Öffnungsstruktur 604 im Träger 100, wobei die Öffnungsstruktur 604 eine oder mehrere zweite Öffnungen 604t aufweisen kann, wobei sich jede von der einen oder den mehreren zweiten Öffnungen 604t von der Fläche 102s des Trägers 100 erstreckt, und Ausführen eines Temperprozesses, so dass die Hohlkammer 104 aus der Öffnungsstruktur 604 im Träger 100 gebildet wird. Wie bereits gezeigt, kann die Öffnungsstruktur 604 neben der Tragstruktur 108 im Träger 100 angeordnet werden, wobei eine oder mehrere der Öffnungsstrukturen 604 die Tragstruktur 108 lateral umgeben können. Mit anderen Worten kann die Öffnungsstruktur 404, wie hier beschrieben, eine oder mehrere der in 6A dargestellten Öffnungsstrukturen 604 aufweisen, wobei die eine oder die mehreren Öffnungsstrukturen 604 die Tragstruktur 108 oder eine oder mehrere Tragstrukturen 108 lateral umgeben oder zumindest teilweise lateral umgeben können. Daher kann die aus der einen oder den mehreren Öffnungsstrukturen 604 gebildete Hohlkammer 104 die Hohlkammer 104 lateral umgeben, nachdem das Tempern ausgeführt wurde.
  • Mit anderen Worten kann ein Verfahren zur Verarbeitung eines Trägers Folgendes aufweisen: Bilden wenigstens einer Öffnungsstruktur 604 über und/oder in einem ersten Gebiet 102 des Trägers 100 und anschließendes Tempern der wenigstens einen Öffnungsstruktur 604, so dass eine oder mehrere Hohlkammern 104 durch Material der wenigstens einen Öffnungsstruktur 604 gebildet werden können (wobei eine solche Verarbeitung des Trägers auch als Venetia-Prozess bezeichnet werden kann). Gemäß verschiedenen Ausführungsformen können mehrere Hohlkammern 104 im Träger 100 gebildet werden, wodurch mehrere erste Gebiete 102a bereitgestellt werden, die vom Rest des Trägers 100 getrennt sind. Gemäß verschiedenen Ausführungsformen können die eine oder die mehreren Hohlkammern 104 eine kontinuierliche einzelne Hohlkammer sein, wobei die eine oder die mehreren Tragstrukturen 108 innerhalb der kontinuierlichen einzelnen Hohlkammer angeordnet sein können, wodurch das Kappengebiet 102a über der kontinuierlichen einzelnen Hohlkammer getragen wird.
  • Wie in 6A schematisch dargestellt ist, kann die Öffnungsstruktur 604 gemäß verschiedenen Ausführungsformen eine oder mehrere zweite Öffnungen 604t (beispielsweise Aussparungen oder Löcher) aufweisen, die im Träger 100 gebildet sind. Gemäß verschiedenen Ausführungsformen kann die gleiche Öffnungsstruktur 604 durch Strukturieren einer zuvor abgeschiedenen Oberflächenschicht 102, beispielsweise durch Bilden eines oder mehrerer Strukturelemente 604f über und/oder im Träger 100, gebildet werden.
  • Der Träger 100 kann ein Siliciumwafer 100 oder ein Siliciumsubstrat 100 sein, wie bereits beschrieben wurde. Der Träger 100 kann ein beliebiger Typ eines Trägers sein, wie bereits beschrieben wurde, einschließlich einer Trägeroberflächenschicht 102, wobei die Trägeroberflächenschicht 102 eine Siliciumschicht 102 sein kann. Der Prozess zur Bildung der Öffnungsstruktur 604 in einem Träger 100, wie hier beschrieben, kann analog auf einen Träger 100 mit einer Siliciumoberflächenschicht 102 angewendet werden, was aus Gründen der Kürze nicht in weiteren Einzelheiten beschrieben werden kann.
  • Ein Prozess zur Bildung wenigstens einer Öffnungsstruktur 604 über und/oder im Träger 100 kann das Strukturieren des Trägers 100 aufweisen. Daher kann eine strukturierte Maskenschicht über dem Träger 100 angeordnet werden, wobei die strukturierte Maskenschicht den Träger 100 zumindest teilweise bedecken kann und zumindest ein Gebiet des Trägers 100, das geätzt werden soll, freilassen kann und nachfolgend Trägermaterial teilweise von dem wenigstens einen freigelassenen Gebiet des Trägers 100 entfernt werden kann, um wenigstens eine Öffnungsstruktur 604 im Träger 100 zu bilden.
  • Gemäß verschiedenen Ausführungsformen können die Form, die Größe, die Positionen und die Anzahl der zweiten Öffnungen 604t, die in der Öffnungsstruktur 604 enthalten sind, entsprechend der gewünschten Form der im Träger 100 zu bildenden Hohlkammer 104 ausgewählt werden. Die Form, die Größe, die Positionen und die Anzahl der Öffnungsstrukturen 604 können entsprechend der gewünschten Form, der gewünschten Größe, den gewünschten Positionen und der gewünschten Anzahl der im Träger 100 zu bildenden Hohlkammern 104 ausgewählt werden.
  • Gemäß verschiedenen Ausführungsformen kann wenigstens ein Ätzprozess angewendet werden, um das jeweilige Trägermaterial teilweise zu entfernen, um die wenigstens eine Öffnungsstruktur 604 bereitzustellen, wobei der wenigstens eine Ätzprozess einen Trockenätzprozess, beispielsweise reaktives Ionenätzen, beispielsweise tiefes reaktives Ionenätzen, aufweisen kann. Ein reaktiver Ätzprozess, wie hier beschrieben, kann wenigstens eine der folgenden Ätzchemien aufweisen: SF6, O2, HBr, NF3, C4F8 und C4F6. Der Ätzprozess kann für das Trägermaterial selektiv sein, beispielsweise für Silicium selektiv sein, so dass eine strukturierte Maskenschicht verwendet werden kann, um das Trägermaterial an den gewünschten Positionen teilweise zu entfernen und daher wenigstens eine Öffnungsstruktur 604 an der gewünschten Position zu bilden. Die zweiten Öffnungen 604t der Öffnungsstruktur 604 können von Trägermaterial (Silicium) umgeben sein. Die zweiten Öffnungen 604t, die in der wenigstens einen Öffnungsstruktur 604 enthalten sind, können ein Aspektverhältnis (das Verhältnis zwischen der Tiefe 605 der zweiten Öffnung 604t und der Breite 603 der zweiten Öffnung 604t) im Bereich von etwa 2 bis etwa 30, beispielsweise im Bereich von etwa 2 bis etwa 20, beispielsweise im Bereich von etwa 2 bis etwa 10, aufweisen.
  • Wie in 6A dargestellt ist, können mehrere zweite Öffnungen 604t (Vertiefungen, Gräben, Löcher) im Träger 100 gebildet werden. Die mehreren zweiten Öffnungen 604t können die Öffnungsstruktur 604 repräsentieren. Jede zweite Öffnung 604t von den mehreren zweiten Öffnungen kann eine rechteckige Form oder eine quadratische Form in der in 6A dargestellten Schnittansicht aufweisen. Die Grundfläche der zweiten Öffnung 604t, die in der wenigstens einen Öffnungsstruktur 604 enthalten ist, beispielsweise von oben betrachtet, kann die durch die strukturierte Maskenschicht definierte Form aufweisen, beispielsweise eine rechteckige Form, eine quadratische Form, eine polygonale Form, eine Kreisform oder eine elliptische Form. Eine zweite Öffnung 604t kann die Form (oder Gestalt) eines geraden Prismas, beispielsweise eines Würfels, eines Kuboids, eines Zylinders und dergleichen aufweisen.
  • Wie in der Schnittansicht in 6A dargestellt ist, kann wenigstens eine zweite Öffnung 604t von den mehreren zweiten Öffnungen 604t, beispielsweise alle Öffnungen von den mehreren zweiten Öffnungen 604t, eine Tiefe 605 im Bereich von etwa 1 µm bis etwa 100 µm, beispielsweise im Bereich von etwa 1 µm bis etwa 50 µm, aufweisen. Gemäß verschiedenen Ausführungsformen kann wenigstens eine zweite Öffnung 604t von den mehreren zweiten Öffnungen 604t, beispielsweise alle Öffnungen von den mehreren zweiten Öffnungen 604t, eine Breite 603 (oder im Fall zylindrischer Formen einen Durchmesser 603) im Bereich von etwa 0,1 µm bis etwa 50 µm, beispielsweise im Bereich von etwa 0,2 µm bis etwa 20 µm, beispielsweise im Bereich von etwa 0,5 µm bis etwa 5 µm, aufweisen. Gemäß verschiedenen Ausführungsformen kann der Abstand 607 zwischen zwei benachbarten zweiten Öffnungen 604t von der Öffnungsstruktur 604, gemessen vom Zentrum der einen von den beiden benachbarten zweiten Öffnungen bis zum Zentrum der anderen der beiden benachbarten zweiten Öffnungen, im Bereich von etwa 0,2 µm bis etwa 100 µm liegen. Daher kann gemäß verschiedenen Ausführungsformen die Breite 609 des restlichen Trägermaterials 604f zwischen zwei jeweiligen benachbarten zweiten Öffnungen 604t der Öffnungsstruktur 604t infolge der Breite 603 und des Abstands 607 im Bereich von etwa 0,1 µm bis etwa 100 µm liegen. Gemäß verschiedenen Ausführungsformen kann die Tiefe 605 einer zweiten Öffnung 604t der Öffnungsstruktur 604 die Tiefenposition (beispielsweise die Tiefe 105a) der jeweiligen aus der Öffnungsstruktur 604 gebildeten Hohlkammer 104 definieren oder beeinflussen, beispielsweise in einem nachfolgend ausgeführten Temperprozess oder einer nachfolgend ausgeführten Wärmebehandlung (vergl. 6B). Das Aspektverhätnis einer zweiten Öffnung 604t von der Öffnungsstruktur 604 kann die Größe der jeweiligen aus den zweiten Öffnungen 604t, beispielsweise in einem nachfolgend ausgeführten Temperprozess, gebildeten Hohlkammer 104 beeinflussen.
  • Zusammenfassend sei bemerkt, dass die Anordnung der einen oder der mehreren zweiten Öffnungen 604t in der Öffnungsstruktur 604 (oder die Anordnung der mehr als einen Öffnungsstruktur 604 im Träger 100) die Anordnung der einen oder mehreren im Träger 100 erzeugten Hohlkammern 104 bestimmen und/oder beeinflussen kann, wobei die eine oder die mehreren Hohlkammern 104 beispielsweise während eines nachfolgend ausgeführten Temperprozesses aus der Öffnungsstruktur 604 gebildet werden können. Gemäß verschiedenen Ausführungsformen kann die Breite 107 der Hohlkammer 104 durch die Breite 611 der Öffnungsstruktur 604 definiert werden.
  • Gemäß verschiedenen Ausführungsformen kann die wenigstens eine Öffnungsstruktur 604 eine zweite Öffnung oder mehr als eine zweite Öffnung 604t, beispielsweise eine beliebige andere Anzahl von Öffnungen, beispielsweise zwei, drei, vier, fünf, sechs, sieben, acht, neun, zehn oder sogar mehr als zehn oder mehr als 20 oder sogar hunderte von zweiten Öffnungen 604t aufweisen, wobei dies von der gewünschten Anzahl, Form und/oder Größe der zu bildenden Hohlkammern 104 abhängt.
  • Ein Temperprozess kann ausgeführt werden, nachdem die Öffnungsstruktur 604 gebildet wurde. Wie in 6B dargestellt ist, kann wenigstens eine (eine oder mehrere) Hohlkammer 104 gebildet werden, während das Tempern der Öffnungsstruktur 604 ausgeführt wird, beispielsweise infolge der Migration von Material der wenigstens einen Öffnungsstruktur 604, beispielsweise infolge der Migration von Silicium 604f, welches die zweiten Öffnungen 604t umgibt. Die Migration von Material der Öffnungsstruktur 604 kann eine oder mehrere Hohlkammern 104 im Träger 100 bilden, wodurch ein erstes Gebiet 102a des Trägers 100 getrennt wird. Gemäß verschiedenen Ausführungsformen kann die laterale Abmessung 107 der einen oder der mehreren Hohlkammern 104 im Bereich von etwa einigen hundert Nanometern bis zu einigen Mikrometern oder sogar bis zu einigen hundert Mikrometern liegen.
  • Gemäß verschiedenen Ausführungsformen kann die Dicke 105a des die wenigstens eine Hohlkammer 104 bedeckenden Kappengebiets oder die Dicke 105a des Materialgebiets 102a (des isolierten Gebiets 102a) über der wenigstens einen Hohlkammer 104 im Bereich von etwa 0,2 µm bis etwa 100 µm liegen. Das Kappengebiet 102a oder das Materialgebiet 102a, wodurch die wenigstens eine Hohlkammer 104 bedeckt wird, kann Silicium (beispielsweise dotiertes Silicium) aufweisen. Ferner kann der Träger 100 während des Temperns der Öffnungsstruktur 604 eine neue Oberfläche 102s bilden. Gemäß verschiedenen Ausführungsformen kann der zur Bildung der einen oder mehreren Hohlkammern 104 aus der Öffnungsstruktur 604 verwendete Temperprozess wenigstens eine von einer Migration, einer Diffusion, einem Materialtransport und einer Materialumordnung des die eine oder die mehreren zweiten Öffnungen 604t, die in der Öffnungsstruktur 604 enthalten sind, umgebenden Materials hervorrufen, während die eine oder die mehreren Hohlkammern 104 gebildet werden.
  • Gemäß verschiedenen Ausführungsformen kann der zur Bildung der einen oder mehreren Hohlkammern 104 aus der Öffnungsstruktur 604 verwendete Temperprozess unter Verwendung von Temperaturen im Bereich von etwa 800 °C bis etwa 1400 °C, beispielsweise im Bereich von etwa 900 °C bis etwa 1300 °C, beispielsweise im Bereich von etwa 1100 °C bis etwa 1200 °C, ausgeführt werden. Gemäß verschiedenen Ausführungsformen kann die Dauer des Temperprozesses zumindest im Bereich von etwa einigen Minuten, beispielsweise größer als 5 Minuten, beispielsweise größer als 10 Minuten, beispielsweise größer als 20 Minuten, liegen. Gemäß verschiedenen Ausführungsformen kann der Temperprozess unter Vakuumbedingungen ausgeführt werden. Gemäß verschiedenen Ausführungsformen kann der Temperprozess ausgeführt werden, während keine erhebliche Sauerstoffmenge (oder Sauerstoffpartialdruck) vorhanden ist, beispielsweise in einer Stickstoffatmosphäre, beispielsweise in einer Argonatmosphäre, beispielsweise in einer chemisch reduzierenden Atmosphäre, die Stickstoff und Wasserstoff aufweist (beispielsweise eine Mischung von Stickstoff mit 2 % bis 20 % Wasserstoff (Substanzmengenanteil)), beispielsweise in einer chemisch reduzierenden Atmosphäre, die Argon und Wasserstoff aufweist (beispielsweise eine Mischung von Argon mit 2 % bis 20 % Wasserstoff (Substanzmengenanteil)).
  • Gemäß verschiedenen Ausführungsformen können die eine oder die mehreren Hohlkammern 104 auch als ein leerer Raum im Silicium bezeichnet werden und kann das erste Gebiet 102a über einer Hohlkammer 104 als eine Silicium-auf-nichts-(SON)-Struktur oder ein migriertes Siliciumgebiet bezeichnet werden. Weil der leere Raum elektrisch isolierend sein kann, kann das erste Gebiet 102a über einer Hohlkammer 104 beispielsweise als Silicium-auf-Isolator-(SOI)-Struktur bezeichnet werden. Das migrierte Siliciumgebiet kann nach dem Temperprozess eine erste Dicke aufweisen, wobei zusätzliches Material über dem getemperten Träger abgeschieden werden kann, wodurch die Dicke des isolierten Gebiets 102a über der einen oder den mehreren Hohlkammern 104 vergrößert wird.
  • Gemäß verschiedenen Ausführungsformen können die Größe und/oder die Form der einen oder der mehreren Hohlkammern 104, die Dicke des migrierten Siliciumgebiets 102a und die Position der einen oder mehreren Hohlkammern 104 durch den Entwurf der Öffnungsstruktur 604 und daher durch Strukturieren des Trägers 100 gesteuert und/oder beeinflusst werden, was unter Verwendung von Prozessen der Halbleiterindustrie ausgeführt werden kann, wie hier beschrieben. Die eine oder die mehreren Hohlkammern 104 können von Silicium umgeben werden, beispielsweise vollständig von Silicium umgeben werden. Mit anderen Worten können die eine oder die mehreren Hohlkammern 104 keine Öffnung zu einer Oberfläche des Trägers 100 haben, nachdem sie durch den Temperprozess gebildet wurden.
  • Gemäß verschiedenen Ausführungsformenkönnen sich die Größe, die Form und die Position der einen oder der mehreren Hohlkammern 104 in einer weiteren Wärmebehandlung nicht erheblich ändern oder variieren. Gemäß verschiedenen Ausführungsformen können die eine oder die mehreren Hohlkammern 104 bis zu Temperaturen von etwa 1300 °C in der Größe, in der Form und/oder in der Position stabil sein. Gemäß verschiedenen Ausführungsformen können die eine oder die mehreren Hohlkammern 104 eine stabile elektrisch isolierende Struktur 104 in einem Träger bereitstellen, wobei der Träger bei hohen Temperaturen verarbeitet werden kann, beispielsweise bei typischen Hochtemperaturprozessen, die an der Herstellung einer integrierten Schaltung beteiligt sind, beispielsweise bei der Herstellung einer CMOS-Struktur, bei der Herstellung einer Leistungshalbleitervorrichtung, bei der Herstellung eines Transistors, bei der Herstellung eines Photosensors und bei der Herstellung eines mikromechanischen Systems.
  • 7 zeigt ein schematisches Flussdiagramm eines Verfahrens 700 zur Verarbeitung eines Trägers 100, wobei das Verfahren Folgendes aufweisen kann: bei 710 Bilden einer oder mehrerer erster Öffnungen im Träger, bei 720 zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial, um eine Tragstruktur zu bilden, bei 730 Bilden einer porösen Struktur im Träger, wobei die poröse Struktur die Tragstruktur lateral umgibt, und bei 740 Ausführen eines Temperprozesses zur Bildung eines Hohlraums (einer Hohlkammer) und eines Kappengebiets, welches die Hohlkammer bedeckt, aus der porösen Struktur, wobei der Hohlraum und das Kappengebiet die Tragstruktur lateral umgeben, wobei das Kappengebiet mit der Tragstruktur verbunden wird.
  • Beispielsweise kann die Hohlkammer 104 aus einer porösen Struktur statt aus einer Öffnungsstruktur 404, 604 gebildet werden, wie zuvor beschrieben.
  • Gemäß verschiedenen Ausführungsformen, wie in den 8A bis 8C dargestellt ist, kann das Bilden einer Hohlkammer 104 im Träger 100 Folgendes aufweisen: Bilden einer porösen Struktur 804 über und/oder im Träger 100, wobei die poröse Struktur 804 mehrere Poren 804h im Trägermaterial des Trägers 100 aufweist, und Bilden einer Deckschicht 802 über dem Träger 100, wobei die Deckschicht 802 die poröse Struktur 804 bedeckt. Gemäß verschiedenen Ausführungsformen kann die poröse Struktur 804 ein oder mehrere poröse Gebiete aufweisen. Gemäß verschiedenen Ausführungsformen kann die Öffnungsstruktur 404, wie zuvor beschrieben, ein oder mehrere poröse Gebiete 804 aufweisen. Ferner kann die Hohlkammer 104 aus der mit der Deckschicht 802 bedeckten porösen Struktur 804 gebildet werden, indem ein Temperprozess ausgeführt wird, so dass die mehreren Poren 804h eine kontinuierliche Hohlkammer 104 bilden können, wie zuvor beschrieben wurde. Gemäß verschiedenen Ausführungsformen kann die Deckschicht 802 das Oberflächengebiet 102s des Trägers 100 nach dem Tempern bereitstellen. Ferner kann die Deckschicht 802 Teil des Trägers 100 sein.
  • Gemäß verschiedenen Ausführungsformen zeigt 8A einen Träger, nachdem eine poröse Struktur 804 im Träger 100 gebildet wurde, wobei die poröse Struktur 804 mehrere Poren 804h aufweisen kann (beispielsweise Leerräume, die von Trägermaterial des Trägers 100 umgeben sind). Gemäß verschiedenen Ausführungsformen kann die poröse Struktur 804 durch Verarbeiten eines ausgewählten Gebiets 802r des Trägers 100 gebildet werden, wobei der Träger 100 beispielsweise ein Siliciumsubstrat sein kann. Das ausgewählte Gebiet 802r kann durch Aufbringen einer Maskenmaterialschicht und anschließendes Strukturieren der Maskenmaterialschicht definiert werden (oder das Gebiet 802r des Trägers 100 kann dadurch ausgewählt werden), so dass ein ausgewähltes Gebiet 802r des Trägers 100 freigelegt werden kann. Mit anderen Worten kann das ausgewählte Gebiet 802r durch eine über der Fläche 102s des Trägers 100 angeordnete strukturierte Maskenschicht definiert werden.
  • Das ausgewählte Gebiet 802r kann anschließend einer physikalischen und/oder chemischen Behandlung unterzogen werden (beispielsweise einer Porenbildungsbehandlung), um eine poröse Struktur 804 in einem definierten Gebiet des Trägers 100 bereitzustellen. Wie hier beschrieben, können der Begriff "Porosität" oder verwandte Begriffe, wie "poröse" Struktur und dergleichen, als der Bruchteil der Leerräume innerhalb des Materials definiert werden. Beispielsweise kann poröses Silicium auf der Grundlage der Größe der im Silicium enthaltenen Poren in drei Kategorien unterteilt werden: erstens mikro-poröses Silicium, das Poren aufweist, die einen Durchmesser von weniger als etwa 2 nm haben, zweitens meso-poröses Silicium, das Poren aufweist, die einen Durchmesser im Bereich von etwa 2 nm bis etwa 50 nm haben, und drittens makro-poröses Silicium, das Poren aufweist, die einen Durchmesser von mehr als etwa 50 nm haben.
  • Daher kann das Bilden einer porösen Struktur 804 in einem ausgewählten Gebiet 802r des Trägers 100 das teilweise Behandeln eines Siliciumsubstrats 100 aufweisen, so dass wenigstens ein poröses Gebiet im Siliciumsubstrat 100 gebildet wird, das wenigstens eines von makro-porösem Silicium, meso-porösem Silicium und mikro-porösem Silicium aufweist. Gemäß verschiedenen Ausführungsformen kann das Bilden einer porösen Struktur 804 in einem ausgewählten Gebiet 802r des Trägers 100 das Einbringen mehrerer Poren 804h in das Siliciumsubstrat 100, wobei die mehreren Poren 804h die poröse Struktur 804 in einem ausgewählten Gebiet 802r des Trägers 100 bilden, beispielsweise unter Verwendung eines Anodisierungsprozesses (beispielsweise in einer Anodisierungszelle ausgeführt) aufweisen. Eine Anodisierungszelle kann beispielsweise eine Platinkathode und einen Siliciumträger 100 aufweisen, der bei Vorhandensein eines Elektrolyten, beispielsweise eines Wasserstofffluorid-(HFaq)-Elektrolyten, als Anode ausgelegt ist. Dabei kann die Korrosion des Siliciumsubstrats durch Anlegen einer Spannung zwischen die Platinkathode und das Siliciumsubstrat und Fließenlassen von elektrischem Strom durch die Anodisierungszelle erzeugt werden.
  • Gemäß verschiedenen Ausführungsformen kann die Bildung eines porösen Siliciumgebiets im Träger 102 unter Verwendung eines Anodisierungsprozesses das Erzeugen einer Porosität von porösem Silicium im Bereich von etwa 5 % bis etwa 90 % ermöglichen. Ferner kann der in der Anodisierungszelle verwendete Elektrolyt Ethanol aufweisen.
  • Ferner kann gemäß verschiedenen Ausführungsformen die Bildung einer porösen Struktur 804 im ausgewählten Gebiet 802r des Trägers 100 das Einbringen mehrerer Poren 804h in das Siliciumsubstrat 100 unter Verwendung eines Beizens oder eines so genannten Beizprozesses aufweisen.
  • Ein Beizprozess kann das Ausführen eines Nassätzprozesses unter Verwendung eines Beizmittels, beispielsweise wenigstens einer von Salzsäure, Salpetersäure und Wasser aufweisen, wobei ein Ätzmittel beispielsweise Salzsäure, Salpetersäure und Wasser einschließt (beispielsweise eine verdünnte Lösung von Salpetersäure in konzentrierter Salzsäure). Gemäß verschiedenen Ausführungsformen kann eine poröse Siliciumstruktur 804 durch Beizen gebildet werden, beispielsweise indem ein freiliegendes Gebiet 802r des Siliciumsubstrats 100 einem Nassätzmittel ausgesetzt wird, das Salpetersäure (HNO3) und Fluorwasserstoff (HF) aufweist.
  • Nachdem eine oder mehrere poröse Strukturen 804 im Träger 100 gebildet wurden, wie in 8A dargestellt ist, kann eine Deckschicht 802 über dem Träger 100 gebildet werden, wobei die Deckschicht 802 die poröse Struktur 804 vollständig bedecken kann. Gemäß verschiedenen Ausführungsformen kann die über der Oberfläche des Trägers 100 gebildete Deckschicht 102 Silicium aufweisen, wobei der Träger 100 auch Silicium aufweisen kann, weshalb eine vergrabene poröse Struktur 804 innerhalb des Trägers 100 gebildet werden kann, wie in 8B dargestellt ist. Gemäß verschiedenen Ausführungsformen kann die Deckschicht 102 als einen Teil des Oberflächengebiets 102 des Trägers 100 bereitstellend angesehen werden.
  • Nachdem die poröse Struktur 804 im Träger 100 gebildet wurde, kann die Tragstruktur 108 ähnlich wie zuvor beschrieben im Träger 100 gebildet werden. Die Tragstruktur 108 kann durch die poröse Struktur 804 gebildet werden, so dass die poröse Struktur 804 die Tragstruktur 108 lateral umgeben kann. Alternativ kann die Tragstruktur 108 gebildet werden, bevor die poröse Struktur 804 gebildet wird, wobei die poröse Struktur 804 neben der Tragstruktur 108 gebildet werden kann.
  • Anschließend kann gemäß verschiedenen Ausführungsformen eine Wärmebehandlung (ein Tempern) ausgeführt werden, so dass die Hohlkammer 104 aus der porösen Struktur 804 gebildet werden kann. Beispielsweise kann das Material (Silicium) der porösen Struktur 804 während einer in einer Wasserstoff enthaltenden Atmosphäre ausgeführten Wärmebehandlung migrieren und/oder diffundieren und dabei eine Hohlkammer 104 bilden. Gemäß verschiedenen Ausführungsformen kann die Wärmebehandlung (das Tempern) ein Tempern der porösen Struktur 804 bei einer Temperatur im Bereich von etwa 900 °C bis etwa 1100 °C aufweisen (vergl. Venetia-Prozess). Gemäß verschiedenen Ausführungsformen können die Poren 804h der porösen Struktur 804 während der Wärmebehandlung zusammenwachsen, so dass eine einzige Hohlkammer 104 gebildet werden kann, wie in 8C dargestellt ist. Der in 8C dargestellte Träger 100 kann wie bereits beschrieben weiterverarbeitet werden, wobei beispielsweise eine Grabenstruktur 106 und/oder eine oder mehrere Komponenten 122 gebildet werden können.
  • Gemäß verschiedenen Ausführungsformen kann das Gebiet 802r im Träger 100, wodurch die Größe der porösen Struktur 804 definiert wird, durch Bereitstellen verschiedener Dotierungstypen im Gebiet 802r und im das Gebiet umgebenden Teil des Trägers 100 ausgewählt werden, weil die Bildung der porösen Struktur 804 durch die Dotierung des Trägers beeinflusst werden kann.
  • Gemäß verschiedenen Ausführungsformen kann die Tragstruktur 108 so ausgelegt werden, dass sie leicht durch Anwenden einer mechanischen Kraft auf das erste Gebiet 102a des Trägers 100 (auf das Kappengebiet) zerstört werden kann, beispielsweise durch Ausführen eines so genannten Pick, Crack & PlaceTM-Prozesses zur räumlichen Trennung und/oder zum Entfernen des ersten Gebiets 102a des Trägers 100 (der SON-Struktur oder der SOI-Struktur) vom Träger 100.
  • Gemäß verschiedenen Ausführungsformen kann das erste Gebiet 102a des Trägers 100 vom Träger 100 entfernt (räumlich entfernt oder abgelöst) werden, beispielsweise nachdem eine elektronische Komponente 122 im ersten Gebiet 102a des Trägers 100 gebildet worden sein könnte, wie bereits beschrieben wurde.
  • Alternativ kann das erste Gebiet 102a des Trägers 100 verwendet werden, um eine elektronische Vorrichtung herzustellen, welche eine SON-Struktur oder eine SOI-Struktur aufweist, beispielsweise um eine erste elektronische Komponente elektrisch von einer zweiten elektronischen Komponente zu trennen.
  • Wenngleich die Erfindung mit Bezug auf spezifische Ausführungsformen eingehend dargestellt und beschrieben wurde, sollten Fachleute verstehen, dass daran verschiedene Änderungen an der Form und den Einzelheiten vorgenommen werden können, ohne vom Gedanken und vom Schutzumfang der in den anliegenden Ansprüchen definierten Erfindung abzuweichen. Der Schutzumfang der Erfindung wird demgemäß durch die anliegenden Ansprüche angegeben, und alle Änderungen, die innerhalb der Bedeutung und des Äquivalenzbereichs der Ansprüche liegen, sollen daher darin eingeschlossen sein.

Claims (19)

  1. Träger (100), welcher Folgendes aufweist: eine Hohlkammer (104), die von einer Fläche (102s) des Trägers (100) beabstandet ist, und wenigstens eine Tragstruktur (108) innerhalb der Hohlkammer (104), welche ein erstes Gebiet (102a) des Trägers (100), das über der Hohlkammer (104) angeordnet ist, mit einem zweiten Gebiet (102b) des Trägers (100), das unter der Hohlkammer (104) angeordnet ist, verbindet, wobei zumindest ein Teil einer Fläche (108w) der wenigstens einen Tragstruktur (108) von einer Innenfläche (104w) der Hohlkammer (104) beabstandet ist und wobei die wenigstens eine Tragstruktur (108) ein elektrisch isolierendes Material aufweist.
  2. Träger (100) nach Anspruch 1, wobei das elektrisch isolierende Material wenigstens ein Material aus der folgenden Gruppe von Materialien aufweist, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid.
  3. Träger (100) nach Anspruch 1 oder 2, wobei die wenigstens eine Tragstruktur (108) ein Kerngebiet und eine Überzugstruktur, welche das Kerngebiet zumindest lateral umgibt, aufweist, wobei das Kerngebiet das elektrisch isolierende Material aufweist.
  4. Träger (100) nach einem der Ansprüche 1 bis 3, wobei die wenigstens eine Tragstruktur (108) ein Kerngebiet und eine Überzugstruktur, welche das Kerngebiet zumindest lateral umgibt, aufweist, wobei die Überzugstruktur das elektrisch isolierende Material aufweist.
  5. Träger (100) nach einem der Ansprüche 1 bis 4, wobei die wenigstens eine Tragstruktur (108) ein Kerngebiet und eine Überzugstruktur, welche das Kerngebiet zumindest lateral umgibt, aufweist, wobei die Überzugstruktur ein Nitrid aufweist und das Kerngebiet ein Oxid aufweist.
  6. Träger (100) nach einem der Ansprüche 1 bis 5, wobei die wenigstens eine Tragstruktur (108) wenigstens eine von einer zylindrischen Form und einer prismatischen Form aufweist und sich innerhalb der Hohlkammer (104) vom ersten Gebiet (102a) zum zweiten Gebiet (102b) des Trägers (100) erstreckt.
  7. Träger (100) nach einem der Ansprüche 1 bis 6, welcher ferner Folgendes aufweist: eine Grabenstruktur, die sich von der Oberfläche (102) des Trägers (100) bis zur Hohlkammer (104) erstreckt, wobei die Grabenstruktur zumindest einen Teil des ersten Gebiets (102a) des Trägers (100) lateral umgibt.
  8. Träger (100) nach einem der Ansprüche 1 bis 7, welcher ferner Folgendes aufweist: eine elektronische Schaltung, die über und/oder im ersten Gebiet (102a) des Trägers (100) angeordnet ist.
  9. Verfahren zur Verarbeitung eines Trägers (100), welches folgende Schritte aufweist: Bilden einer oder mehrerer erster Öffnungen im Träger (100), zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial, um wenigstens eine Tragstruktur (108) zu bilden, Bilden einer Öffnungsstruktur in dem Träger (100), wobei die Öffnungsstruktur die wenigstens eine Tragstruktur (108) zumindest teilweise lateral umgibt, und Ausführen eines Temperprozesses zur Bildung einer Hohlkammer (104) und eines die Hohlkammer (104) bedeckenden Kappengebiets aus der Öffnungsstruktur, wobei die Hohlkammer (104) und das Kappengebiet die wenigstens eine Tragstruktur (108) zumindest teilweise lateral umgeben, wobei das Kappengebiet mit der wenigstens einen Tragstruktur (108) verbunden wird.
  10. Verfahren nach Anspruch 9, wobei das Bilden der Öffnungsstruktur das Bilden einer oder mehrerer zweiter Öffnungen aufweist; wobei optional die eine oder die mehreren ersten Öffnungen gebildet werden, wobei sie eine erste Tiefe aufweisen, und wobei optional die eine oder die mehreren zweiten Öffnungen gebildet werden, wobei sie eine zweite Tiefe aufweisen, wobei die zweite Tiefe kleiner als die erste Tiefe ist.
  11. Verfahren nach Anspruch 9 oder 10, wobei das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit dem Füllmaterial Folgendes aufweist: zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit wenigstens einem Material aus der folgenden Gruppe von Materialien, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid.
  12. Verfahren nach einem der Ansprüche 9 bis 11, wobei das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit dem Füllmaterial Folgendes aufweist: zumindest teilweises Bedecken der inneren Seitenwände der einen oder der mehreren ersten Öffnungen mit einem ersten Material, das aus der folgenden Gruppe von Materialien ausgewählt ist, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid, und anschließend zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem zweiten Material.
  13. Verfahren nach einem der Ansprüche 9 bis 12, welches ferner Folgendes aufweist: Bilden einer Materialschicht über dem Kappengebiet.
  14. Verfahren nach einem der Ansprüche 9 bis 13, welches ferner Folgendes aufweist: Bilden einer Grabenstruktur, die sich von der Oberfläche des Kappengebiets zur Hohlkammer (104) erstreckt, wobei die Grabenstruktur zumindest einen Teil des Kappengebiets lateral umgibt.
  15. Verfahren nach einem der Ansprüche 9 bis 14, welches ferner Folgendes aufweist: Bilden einer elektronischen Schaltung über und/oder in dem Kappengebiet.
  16. Verfahren nach einem der Ansprüche 9 bis 15, welches ferner Folgendes aufweist: Ablösen des Kappengebiets vom Träger (100).
  17. Verfahren zur Verarbeitung eines Trägers (100), wobei das Verfahren Folgendes aufweist: Bilden einer oder mehrerer erster Öffnungen im Träger (100), zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial, um wenigstens eine Tragstruktur (108) zu bilden, Bilden einer porösen Struktur im Träger (100), wobei die poröse Struktur die wenigstens eine Tragstruktur (108) lateral umgibt, und Ausführen eines Temperprozesses zur Bildung einer Hohlkammer (104) und eines die Hohlkammer (104) bedeckenden Kappengebiets aus der porösen Struktur, wobei die Hohlkammer (104) und das Kappengebiet die wenigstens eine Tragstruktur (108) lateral umgeben, wobei das Kappengebiet mit der wenigstens einen Tragstruktur (108) verbunden wird.
  18. Verfahren nach Anspruch 17, wobei das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial Folgendes aufweist: zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit wenigstens einem Material aus der folgenden Gruppe von Materialien, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid.
  19. Verfahren nach Anspruch 17 oder 18, wobei das zumindest teilweise Füllen der einen oder der mehreren ersten Öffnungen mit einem Füllmaterial Folgendes aufweist: zumindest teilweises Bedecken der inneren Seitenwände der einen oder der mehreren ersten Öffnungen mit einem ersten Material, das aus der folgenden Gruppe von Materialien ausgewählt ist, wobei die Gruppe aus folgenden besteht: einem Oxid, einem Nitrid, einem Oxynitrid, und anschließend zumindest teilweises Füllen der einen oder der mehreren ersten Öffnungen mit einem zweiten Material.
DE102014117966.8A 2013-12-06 2014-12-05 Verfahren zur Verarbeitung eines Trägers Active DE102014117966B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/098,580 US9263357B2 (en) 2013-12-06 2013-12-06 Carrier with hollow chamber and support structure therein
US14/098,580 2013-12-06

Publications (2)

Publication Number Publication Date
DE102014117966A1 true DE102014117966A1 (de) 2015-06-11
DE102014117966B4 DE102014117966B4 (de) 2023-03-30

Family

ID=53185466

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014117966.8A Active DE102014117966B4 (de) 2013-12-06 2014-12-05 Verfahren zur Verarbeitung eines Trägers

Country Status (3)

Country Link
US (2) US9263357B2 (de)
CN (1) CN104701325B (de)
DE (1) DE102014117966B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016217001B4 (de) 2016-09-07 2023-11-23 Infineon Technologies Dresden Gmbh Verfahren zum Ausbilden eines mikroelektromechanischen Bauelementes mit einer eine Lamellenstruktur haltenden Stützstruktur

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9263357B2 (en) 2013-12-06 2016-02-16 Infineon Technologies Dresden Gmbh Carrier with hollow chamber and support structure therein
US9560765B2 (en) * 2013-12-06 2017-01-31 Infineon Technologies Dresden Gmbh Electronic device, a method for manufacturing an electronic device, and a method for operating an electronic device
US9613878B2 (en) 2013-12-06 2017-04-04 Infineon Technologies Dresden Gmbh Carrier and a method for processing a carrier
US9236241B2 (en) * 2014-05-05 2016-01-12 Infineon Technologies Dresden Gmbh Wafer, a method for processing a wafer, and a method for processing a carrier
US11981560B2 (en) 2020-06-09 2024-05-14 Analog Devices, Inc. Stress-isolated MEMS device comprising substrate having cavity and method of manufacture
US20220415703A1 (en) * 2021-06-24 2022-12-29 Stmicroelectronics S.R.L. Ultra-thin semiconductor die with irregular textured surfaces

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5227658A (en) 1991-10-23 1993-07-13 International Business Machines Corporation Buried air dielectric isolation of silicon islands
US5972758A (en) * 1997-12-04 1999-10-26 Intel Corporation Pedestal isolated junction structure and method of manufacture
US6433401B1 (en) 1999-04-06 2002-08-13 Analog Devices Imi, Inc. Microfabricated structures with trench-isolation using bonded-substrates and cavities
JP4074051B2 (ja) 1999-08-31 2008-04-09 株式会社東芝 半導体基板およびその製造方法
DE50016039D1 (de) 2000-10-09 2011-01-05 Siemens Ag Positionsfixierung in leiterplatten
US7132348B2 (en) 2002-03-25 2006-11-07 Micron Technology, Inc. Low k interconnect dielectric using surface transformation
JP4277481B2 (ja) 2002-05-08 2009-06-10 日本電気株式会社 半導体基板の製造方法、半導体装置の製造方法
ITMI20021099A1 (it) 2002-05-22 2003-11-24 St Microelectronics Srl Struttura d'isolamento a dielettrico per l'integrazione di dispositivi elettronico a semiconduttore e relativo processo di realizzazione
JP4004448B2 (ja) 2003-09-24 2007-11-07 富士通株式会社 半導体装置およびその製造方法
KR100622955B1 (ko) 2004-04-06 2006-09-18 삼성전자주식회사 박막 벌크 음향 공진기 및 그 제조방법
US8120135B2 (en) 2004-05-19 2012-02-21 Infineon Technologies Ag Transistor
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
JP4479006B2 (ja) 2005-07-28 2010-06-09 セイコーエプソン株式会社 半導体装置の製造方法
JP2007103842A (ja) 2005-10-07 2007-04-19 Toshiba Corp 半導体装置
US7452784B2 (en) 2006-05-25 2008-11-18 International Business Machines Corporation Formation of improved SOI substrates using bulk semiconductor wafers
EP1881527A1 (de) 2006-07-17 2008-01-23 STMicroelectronics S.r.l. Verfahren zur Herstellung einer Halbleiterscheibe mit SOI-Isolierten Gräben und entsprechende Halbleiterscheibe
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR100855628B1 (ko) 2006-10-02 2008-09-03 삼성전기주식회사 광변조기 검사를 위한 장치 및 방법
KR100894177B1 (ko) 2006-12-27 2009-04-22 삼성전기주식회사 광 변조기 모듈 패키지
KR101003496B1 (ko) 2008-09-29 2010-12-30 주식회사 하이닉스반도체 소자분리 구조 및 리세스 게이트를 포함하는 반도체 소자 및 제조 방법
EP2507823B1 (de) 2009-12-04 2018-09-26 Semiconductor Energy Laboratory Co. Ltd. Herstellungsverfahren für halbleiterbauelement
US8481400B2 (en) * 2010-09-17 2013-07-09 Infineon Technologies Ag Semiconductor manufacturing and semiconductor device with semiconductor structure
CN102097441B (zh) 2010-12-17 2013-01-02 电子科技大学 用于等离子显示屏驱动芯片的soi器件
US8648414B2 (en) 2011-07-01 2014-02-11 Micron Technology, Inc. Semiconductor structures including bodies of semiconductor material, devices including such structures and related methods
US8497148B2 (en) 2011-07-22 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and methods of forming same
DE102011085863A1 (de) * 2011-11-07 2013-05-08 Robert Bosch Gmbh Träger für eine elektrische Schaltung mit einem integrierten Energiespeicher
US9054671B2 (en) 2011-11-09 2015-06-09 International Business Machines Corporation Tunable filter structures and design structures
US8994127B2 (en) 2011-11-24 2015-03-31 Infineon Technologies Ag Method of fabricating isolating semiconductor structures using a layout of trenches and openings
KR101986126B1 (ko) 2012-07-18 2019-06-05 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US8772126B2 (en) 2012-08-10 2014-07-08 Infineon Technologies Ag Method of manufacturing a semiconductor device including grinding from a back surface and semiconductor device
CN103022006B (zh) 2013-01-21 2015-03-18 贵州大学 一种基于外延技术的三维集成功率半导体及其制作方法
US8987827B2 (en) 2013-05-31 2015-03-24 Stmicroelectronics, Inc. Prevention of faceting in epitaxial source drain transistors
US9263357B2 (en) 2013-12-06 2016-02-16 Infineon Technologies Dresden Gmbh Carrier with hollow chamber and support structure therein

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016217001B4 (de) 2016-09-07 2023-11-23 Infineon Technologies Dresden Gmbh Verfahren zum Ausbilden eines mikroelektromechanischen Bauelementes mit einer eine Lamellenstruktur haltenden Stützstruktur

Also Published As

Publication number Publication date
CN104701325A (zh) 2015-06-10
US20150162254A1 (en) 2015-06-11
US9263357B2 (en) 2016-02-16
DE102014117966B4 (de) 2023-03-30
US9716015B2 (en) 2017-07-25
CN104701325B (zh) 2017-11-21
US20160118270A1 (en) 2016-04-28

Similar Documents

Publication Publication Date Title
DE102014117969B4 (de) Träger und Verfahren zur Verarbeitung eines Trägers
DE102014117966B4 (de) Verfahren zur Verarbeitung eines Trägers
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE102014117974A1 (de) Elektronische Vorrichtung, Verfahren zur Herstellung einer elektronischen Vorrichtung und Verfahren zum Betreiben einer elektronischen Vorrichtung
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102016118062B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem nichtflüchtigen Speicher und einer Logikschaltung
DE102013111008A1 (de) Silizium-auf-Nichts-Einheiten und Verfahren für ihre Herstellung
DE102014200840B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur mit einem vertikalen Nanodraht
DE102012217073A1 (de) Vertikales mikroelektronisches Bauelement und entsprechendes Herstellungsverfahren
DE3242736A1 (de) Verfahren zum herstellen feldgesteuerter elemente mit in vertikalen kanaelen versenkten gittern, einschliesslich feldeffekt-transistoren und feldgesteuerten thyristoren
DE102014108790B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit Vorrichtungstrennungsstrukturen und Halbleitervorrichtung
DE102017120535B4 (de) Halbleitervorrichtung und Halbleitersubstrat, das eine poröse Schicht enthält, und Herstellungsverfahren
DE102015106896B4 (de) Verfahren zur Bearbeitung eines Wafers
DE102014107531A1 (de) Verfahren zur Verarbeitung eines Trägers und Träger
DE102018127446B4 (de) Metallschienenleiter für nichtplanare Halbleiterbauteile und Verfahren zur Bildung derselben
DE102013100636B4 (de) Halbleiterbauelement mit Kontaktstruktur und Verfahren zu dessen Herstellung
DE102020105644B4 (de) Halbleiterbauelement und herstellungsverfahren
DE102013112862B9 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102016110523B4 (de) Verarbeiten einer Leistungshalbleitervorrichtung
DE10354389B3 (de) Verfahren zur Herstellung eines nanoskaligen Feldeffekttransistors
DE102015106074B4 (de) Halbleiterbauelement und verfahren
DE19711483C2 (de) Vertikaler MOS-Transistor und Verfahren zu dessen Herstellung
DE102016115008A1 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE602005005611T2 (de) Verfahren zur Isolation von Teilbereichen in einem dünnen Film eines oxidierbaren Halbleitermaterials
DE102006037045B4 (de) Herstellungsverfahren zum Erzeugen einer Halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R082 Change of representative