DE102014019413A1 - Epitaxialkanal mit Gegen-Halo-Implantat, um Analog-Verstärkung zu verbessern - Google Patents

Epitaxialkanal mit Gegen-Halo-Implantat, um Analog-Verstärkung zu verbessern Download PDF

Info

Publication number
DE102014019413A1
DE102014019413A1 DE102014019413.2A DE102014019413A DE102014019413A1 DE 102014019413 A1 DE102014019413 A1 DE 102014019413A1 DE 102014019413 A DE102014019413 A DE 102014019413A DE 102014019413 A1 DE102014019413 A1 DE 102014019413A1
Authority
DE
Germany
Prior art keywords
implant
channel
substrate
gate
regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102014019413.2A
Other languages
English (en)
Other versions
DE102014019413B4 (de
Inventor
Tsung-Hsing Yu
Shih-Syuan Huang
Ken-Ichi Goto
Yi-Ming Sheu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014019413A1 publication Critical patent/DE102014019413A1/de
Application granted granted Critical
Publication of DE102014019413B4 publication Critical patent/DE102014019413B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Einige Ausführungsformen der vorliegenden Erfindung betreffen ein Implantat, das eine Langkanaltransistorleistung mit geringem oder keinem Einfluss auf Kurzkanaltransistorleistung verbessert. Um die DIBL abzuschwächen sind sowohl Langkanal- als auch Kurzkanaltransistoren auf einem Substrat einem Halo-Implantat unterworfen. Während das Halo-Implantat Kurzkanaltransistorleistung verbessert, vermindert es Langkanaltransistorleistung. Daher wird ein Gegen-Halo-Implantat lediglich an den Langkanaltransistoren durchgeführt, um deren Leistung wiederherzustellen. Um dies zu erreichen, wird das Gegen-Halo-Implantat in einem Winkel durchgeführt, der Dotandenverunreinigungen nahe der Source/Drain-Bereiche der Langkanaltransistoren einführt, um den Effekten des Halo-Implantats entgegenzuwirken, während das Gegen-Halo-Implantat gleichzeitig davon beschattet wird, den Kanal der Kurzkanaltransistoren zu erreichen.

Description

  • HINTERGRUND
  • Transistoren werden häufig in modernen integrierten Schaltungen (ICs) zum Verstärken oder Schalten von elektronischen Signalen eingesetzt. Eine moderne Halbleiter-IC enthält Millionen oder sogar Milliarden von Transistoren auf einer einzigen IC. Um eine geeignete Ausbeute zu gewährleisten, werden die Transistoren mit akkurater und genauer Anordnung ihrer verschiedenen Komponenten und Bestandteile hergestellt. Ein solcher Bestandteil sind Dotandenverunreinigungen, die in den Kanalbereich eines Transistor eingeführt werden. Die Dotandenverunreinigungen beeinflussen direkt die Funktionalität und Leistung des Transistors. Die Eigenschaften und der Ort der Dotandenverunreinigungen, oder das „Dotandenprofil”, müssen sorgsam kontrolliert werden. Variationen innerhalb eines Halbleiterherstellungsverfahrens können eine Variation in dem Transistorbauelement, einen Leistungsabbau und einen möglichen Ausbeuteverlust verursachen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1A1B veranschaulichen Querschnittsansichten einiger Ausführungsformen zum Durchführen eines Gegen-Halo-Implantats in einem Langkanaltransistor, während gleichzeitig das Gegen-Halo-Implantat in einem Kurzkanaltransistor beschattet wird.
  • 2 veranschaulicht einige Ausführungsformen eines Verfahrens zum Durchführen eines Gegen-Halo-Implantats an einer Vielzahl von Langkanaltransistoren, während gleichzeitig das Gegen-Halo-Implantat an einer Vielzahl von Kurzkanaltransistoren beschattet wird.
  • 3A3J veranschaulichen Querschnittsansichten einiger Ausführungsformen zum Bilden eines Transistors mit einem Gegen-Halo-Implantat.
  • 4 veranschaulicht eine Querschnittsansicht einiger Ausführungsformen eines Kurzkanaltransistors und eines Langkanaltransistors, gebildet auf einem gleichen Substrat durch die Ausführungsformen der 3A3J.
  • 3A5B veranschaulichen Graphen einiger Ausführungsformen von Dotandenkonzentrationen für einen Langkanaltransistor, der ein Gegen-Halo-Implantat aufnimmt, und einen Kurzkanaltransistor, der das Gegen-Halo-Implantat aufgrund einer Schattierung nicht aufnimmt.
  • 6 veranschaulicht einige Ausführungsformen eines Verfahrens zum Bilden eines Langkanaltransistors mit einem Gegen-Halo-Implantat, während gleichzeitig ein Kurzkanaltransistor davon abgehalten wird, das Gegen-Halo-Implantat aufzunehmen.
  • DETAILLIERTE BESCHREIBUNG
  • Die Beschreibung wird hierin unter Bezugnahme auf die Zeichnungen gegeben, wo gleiche Bezugszeichen im Allgemeinen verwendet werden, um gleiche Elemente zu bezeichnen, und wobei die verschiedenen Strukturen nicht notwendigerweise maßstabsgetreu gezeichnet sind. In der folgenden Beschreibung sind zu Erklärungszwecken zahlreiche spezifische Details dargelegt, um das Verständnis zu verbessern. Es kann jedoch für einen Fachmann auf dem Gebiet ersichtlich sein, dass ein oder mehrere hierin beschriebene Aspekte mit einem geringeren Grad dieser spezifischen Details durchgeführt werden kann bzw. können. In anderen Fällen sind bekannte Strukturen und Bauelemente in Blockdiagrammform gezeigt, um das Verständnis zu verbessern.
  • Auf Halbleitersubstraten gebildete Kurzkanallängentransistoren unterliegen einer Drain-induzierten Grenzabsenkung (DIBL) gegenüber verhältnismäßig langkanalartigen Transistoren aufgrund einer geringen Kanaldotierung oder Source/Drain-Knotenpunkten, die zu tief sind. DIBL resultiert in einem Schwund zwischen der Source und der Drain eines Transistors, was in einem Verlust an Gate-Steuerung resultieren kann. Um diesen Effekt zu bekämpfen, wird ein lokalisiertes Halo-Implantat eingesetzt, um Kanaldotandenkonzentrationen nahe der Source/Drain-Bereiche des Kanals zu erhöhen. Die höhere Dotierung in diesen Bereichen reduziert eine Wechselwirkung zwischen der Source und der Drain ohne Beeinflussung der Grenzwertspannung (Vth) des Bauelements. Während jedoch das Halo-Implantat die Leistung verbessern kann und einen Schwund in Kurzkanaltransistoren absenken kann, kann es die Source-zu-Drain-Transkonduktanz (Gds) von Langkanaltransistoren vermindern.
  • Demzufolge beziehen sich einige Ausführungsformen der vorliegenden Erfindung auf ein Implantat, das Langkanaltransistorleistung mit geringem oder keinem Einfluss auf Kurzkanaltransistorleistung verbessert. Um DIBL zu milder, werden sowohl Langkanal- als auch Kurzkanaltransistoren auf einem Substrat einem Halo-Implantat unterzogen. Während das Halo-Implantat Kurzkanaltransistorleistung verbessert, vermindert es Langkanaltransistorleistung. Daher wird ein Gegen-Halo-Implantat (counter-halo-implantat) an den Langkanaltransistoren lediglich durchgeführt, um deren Leistung wieder herzustellen. Um dies zu erreichen, wird das Gegen-Halo-Implantat bei einem Winkel durchgeführt, der Dotandenverunreinigungen nahe der Source/Drain-Bereiche der Langkanaltransistoren einführt, um den Effekten des Halo-Implantats entgegenzuwirken, während das Gegen-Halo-Implantat gleichzeitig davon beschattet wird, den Kanal der Kurzkanaltransistoren zu erreichen. Die hierin offenbarten Ausführungsformen können Langkanaltransistor-DIBL, Gds und Verstärkung (gain) verbessern, mit geringem oder keinem Einfluss auf Kurzkanaltransistorleistung und ohne zusätzliche Maskenkosten.
  • 1A veranschaulicht eine Querschnittsansicht einiger Ausführungsformen eines Paares von Kurzkanaltransistoren 100A, gebildet auf einem Substrat 102, einschließend erste und zweite Kanalbereiche 112A, 112B einer Kanallänge L1, sich befindend zwischen einer Vielzahl von Source/Drain-Bereichen 110. Das Paar von Kurzkanaltransistoren schließt ebenfalls erste und zweite Gate-Strukturen 104A, 104B ein, jeweils zusammengesetzt aus einer Hartmaske (HM) 108, die an einer Gate-Elektrode 106 angeordnet ist. Für die Kurzkanaltransistoren 100A weisen die ersten und zweiten Gate-Strukturen 104A, 104B eine vertikale Abmessung (h) auf und sind durch einen ersten horizontalen Abstand (s1) getrennt. 1B veranschaulicht eine Querschnittsansicht einiger Ausführungsformen eines Paares von Langkanaltransistoren 100B, zusammengesetzt aus den gleichen Komponenten und Bestandteilen wie das Paar von Kurzkanaltransistoren 100A, jedoch mit ersten und zweiten Kanalbereichen 112A, 112B einer Kanallänge L2, wobei L2 > L1 ist. Zusätzlich ist das Paar von Langkanaltransistoren 100B durch einen zweiten horizontalen Abstand, (s2) getrennt.
  • Sowohl die Kurzkanaltransistoren 100A als auch die Langkanaltransistoren 100B sind einer Halo-Implantation unterzogen worden, um die DIBL innerhalb der Kurzkanaltransistoren 100A zu milder. Um den Effekten des Gds-Abbaus innerhalb der Langkanaltransistoren 100B entgegen zu wirken, wird ein Gegen-Halo-Implantat an dem Paar der Langkanaltransistoren 100B einzig durchgeführt, um deren Leistung wieder herzustellen.
  • Um dies zu erreichen, wird ein Implantatwinkel so gewählt, dass implantierte Dotantenverunreinigungen die ersten und zweiten Kanalbereiche 112A, 112B des Langkanaltransistors 100B erreichen, jedoch gesperrt werden, die ersten und zweiten Kanalbereiche 112A, 112B der Kurzkanaltransistoren 100A zu erreichen.
  • Für die Kurzkanaltransistoren 100A wird ein erster Winkel (θ1), der größer als Arktustangens (s1/h) ist, es dem Gegen-Halo-Implantat nicht erlauben, die ersten und zweiten Kanalbereiche 112A, 112B der Kurzkanaltransistoren 100A auf Grund einer Schattierung des Implantats durch eine angrenzende Gate-Struktur zu erreichen. Umgekehrt wird für die Langkanaltransistoren 100B ein zweiter Winkel (θ2) von kleiner als Arktustangens (s2/h) es dem Gegen-Halo-Implantat erlauben, die ersten und zweiten Kanalbereiche 112A, 112B der Langkanaltransistoren 100B zu erreichen. Daher wird ein Gegen-Halo-Implantatwinkel von θ2 > θ > θ1 es dem Gegen-Halo-Implantat erlauben, lediglich die ersten und zweiten Kanalbereiche 112A, 112B der Langkanaltransistoren 100B zu erreichen, während die Kurzkanaltransistoren 100A nicht beeinträchtigt werden. Dies vermindert zusätzlich die Kosten und Herstellungsoverhead, der erforderlich ist, um eine bestimmte Maske herzustellen, um das Gegen-Halo-Implantat lediglich an den Langkanaltransistoren 100B durchzuführen.
  • 2 veranschaulicht einige Ausführungsformen eines Verfahrens 200 zum Durchführen eines Gegen-Halo-Implants an einer Vielzahl von Langkanaltransistoren, während gleichzeitig das Gegen-Halo-Implantat an einer Vielzahl von Kurzkanaltransistoren beschattet wird. Während das Verfahren 200 und anschließend das Verfahren 400 als eine Reihe von Handlungen oder Tätigkeiten beschrieben wird, wird es verstanden werden, dass die veranschaulichte Reihenfolge solcher Handlungen oder Tätigkeiten nicht in einem begrenzenden Sinne zu interpretieren ist. Beispielsweise können einige Handlungen in unterschiedlichen Reihenfolgen und/oder gleichzeitig mit anderen Handlungen oder Tätigkeiten getrennt von den hierin veranschaulichten und/oder beschriebenen stattfinden. Zusätzlich müssen nicht alle veranschaulichten Handlungen erforderlich sein, um eine oder mehrere Erscheinungen oder Ausführungsformen der Beschreibung hierin zu implementieren.
  • Ferner kann eine oder mehrere der hierin gezeigten Handlungen in einer oder mehreren getrennten Handlungen und/oder Phasen durchgeführt werden.
  • Bei 202 wird eine Vielzahl von ersten Gate-Strukturen auf einem Substrat gebildet. Die ersten Gate-Strukturen weisen eine vertikale Abmessung (h) auf und sind durch einen ersten horizontalen Abstand (s1) getrennt.
  • Bei 204 wird eine Vielzahl von zweiten Gate-Strukturen auf dem Substrat gebildet. Die zweiten Gate-Strukturen weisen die vertikale Abmessung (h) auf und sind durch einen zweiten horizontalen Abstand (s2) getrennt, der größer ist als der erste horizontale Abstand (s1).
  • Bei 206 wird ein Gegen-Halo-Implantat bei einem Winkel zur Vertikalen durchgeführt, um Dotandenverunreinigungen in dem Substrat einzuführen. Der Winkel ist größer als ein erster Grenzwert von Arktustangens (s1/h), so dass das Implantat gesperrt wird, das Substrat durch die ersten Gate-Strukturen zu erreichen. Ebenfalls ist der Winkel kleiner als ein zweiter Grenzwert von Arktustangens (s2/h), so dass das Implantat nicht gesperrt wird, das Substrat durch die zweiten Gate-Strukturen zu erreichen.
  • 3A3J veranschaulichen Querschnittsansichten einiger Ausführungsformen zum Bilden eines Transistors mit einem Gegen-Halo-Implantat.
  • 3A veranschaulicht eine Querschnittsansicht einiger Ausführungsformen eines Substrats 302, wobei eine Quelle (well) und Vth-Implantat 304 verwendet wird, um Dotandenverunreinigungen eines ersten Verunreinigungstyps in einen Transistorbereich des Substrats 302 einzuführen. Das Vth-Implantat führt die Verunreinigungen des ersten Verunreinigungstyps in den Transistortbereich des Substrat 302 ein, um das Vth eines Transistors, gebildet in anschließenden Verarbeitungsschritten, einzustellen. In einigen Ausführungsformen schließen die Dotandenverunreinigungen p-artige Dotandenverunreinigungen, wie Bor, Kohlenstoff, Indium, etc., ein. In einigen Ausführungsformen schließen die Dotandenverunreinigungen n-artige Dotandenverunreinigen, wie Phosphor, Antimon oder Arsen, etc. ein. In verschiedenen Ausführungsformen verwendet das Vth-Implantat eine Implantatenergie in einem Bereich von etwas 5 keV bis etwa 150 keV.
  • 3B veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, wo ein Tempern verwendet wird, um die implantierten Dotanden zu aktivieren oder kristalline Defekte zu eliminieren, die während der Quellung und des Vth-Implantats 304 eingeführt werden, und um Diffusion und Umverteilung von Dotandenverunreinigungen zu fördern. Verschiedene herkömmliche Tempervorgänge können verwendet werden, und die Tempervorgänge können die implantierten Dotandenverunreinigungen tiefer in Halbleitersubstrat 302, wie es durch die Dunkelheitsgradienten des Substrats 302 in 3b gezeigt wird, treiben.
  • 3C veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, welches in einer Tiefe (d) in dem Transistorbereich ausgenommen ist. In einigen Ausführungsformen schließt eine Bildung der Ausnehmung ein oder mehrere Ätzverfahren ein, einschließend, jedoch nicht begrenzt auf ein trockenes Verfahren, wie Plasmaätzverfahren, Nassätzverfahren oder eine Kombination von beiden. In einigen Ausführungsformen wird ein Nassätzen verwendet, um die Ausnehmung zu bilden. Beispielsweise kann ein Ätzmittel wie Kohlenstofftetrafluorid (CF4), HF, Tetramethylammoniumhydroxid (TMAH) oder Kombinationen derselben oder dergleichen verwendet werden, um das Nassätzen durchzuführen und die Ausnehmung zu bilden.
  • 3D veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, wo eine Schicht von Kohlenstoff enthaltendem Material 306 über dem Transistorbereich angeordnet wird. In einigen Ausführungsformen schließt das Kohlenstoff enthaltende Material 306 Siliziumcarbid (SiC) ein.
  • 3E veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, wo eine Schicht aus Substratmaterial 308 über der Schicht aus Kohlenstoff enthaltendem Material 306 angeordnet ist. In einigen Ausführungsformen schließt die Schicht aus Substratmaterial 308 Silizium (Si) ein. In verschiedenen Ausführungsformen sind die Schicht aus Kohlenstoff enthaltendem Material 306 und die Schicht aus Substratmaterial 308 durch ein geeignetes Epitaxialverfahren angeordnet, wie chemische Dampfabscheidung (CVD), Niederdruck-CVD (LPCVD), Atomschicht-CVD (ALCVD), Ultrahochvakuum-CVD (UHVCVD), Unterdruck-CVD (RPCVD), jede geeignete CVD; Molekularstrahlepitaxieverfahren (MBE) oder jegliche geeigneten Kombinationen derselben. In einigen Ausführungsformen weist die Schicht aus Kohlenstoff enthaltendem Material 306 eine Dicke in einem Bereich von etwa 2 Nanometern (nm) bis etwa 15 nm auf. In einigen Ausführungsformen weist die Schicht aus Substratmaterial 308 eine Dicke in einem Bereich von etwa 5 nm bis etwa 30 nm auf.
  • 3F veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, wo ein Gate-Dielektrikum 310 über der Schicht aus Substratmaterial 308 angeordnet ist. In verschiedenen Ausführungsformen wird die Anordnung des Gate-Dielektrikums 310 durch die zuvor erwähnten Epitaxialverfahren oder durch verschiedene geeignete Dielektrikaabscheidungsverfahren erreicht. In einigen Ausführungsformen schließt das Gate-Dielektrikum 310 eine dielektrische Schicht mit hohem k-Wert ein, wie Hafniumoxid (HfO). Andere Ausführungsformen können andere geeignete Gate-Dielektrikamaterialien mit hohem k-Wert verwenden. Andere Ausführungsformen können eine Oxidschicht, wie Siliziumdioxid (SiO2), verwenden. In einigen Ausführungsformen weist das Gate-Dielektrikum 310 eine Dicke in einem Bereich von etwa 1 nm bis etwa 30 nm auf.
  • 3G veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, wo eine Gate-Struktur (312, 314) über dem Gate-Dielektrikum 310 in dem Kanalbereich des Substrats 302 angeordnet ist. Für die Ausführungsformen von 3G schließt die Gate-Struktur eine Gate-Elektrode (312) (z. B. Polysilizium) ein, angeordnet über dem Gate-Dielektrikum 310, und eine Hartmaske 314, gebildet über der Gate-Elektrode 312. In verschiedenen Ausführungsformen wird die Gate-Struktur durch ein geeignetes Lithographieverfahren gebildet, einschließend, jedoch nicht begrenzt auf optische Lithographie, Mehrfachstrukturierung (MP) mit optischer Lithographie (z. B. Doppel-Strukturierung), Tiefultraviolettlithographie (UV), extreme UV-Lithographie (EUV) oder andere geeignete Strukturierungsmethoden.
  • 3H veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, wo ein leicht dotiertes Drain-Implantat (LDD) (nicht gezeigt) und ein Halo-Implantat 316 nach Strukturierung der Gate-Struktur durchgeführt werden, um LDD-Bereiche 318 zu bilden. Das LDD-Implantat verwendet Dotanden eines zweiten Verunreinigungstyps (d. h. n-artig oder p-artig), der ein anderer ist als der erste Verunreinigungstyp der Quellung und der Vth-Implantate, die in 3A gezeigt sind. Für die Ausführungsformen der 3A3J verwenden die LDD-Bereiche 318 einen n-artigen Dotanden (Phosphor, Antimon oder Arsen, etc.) und die Quellung und die Vth-Implantate 304 verwenden einen p-artigen Dotanden, z. B. Bor, Kohlenstoff, Indium, etc.).
  • In verschiedenen Ausführungsformen wird das Halo-Implantat 316 an einem ersten Neigungswinkel (θ1) von 20 Grad oder weniger in Bezug auf die Vertikale durchgeführt. Das Halo-Implantat 316 führt Dotandenverunreinigungen des ersten Verunreinigungstyps (d. h. dem gleichen wie für die Quellung und Vth-Implantat 304) in hochdotierte Bereiche 320 auf entgegengesetzten Rändern des Kanalbereichs, gebildet unter der Gate-Struktur, ein, um DIBL-Effekte zu mindern. In einer beispielhaften Ausführungsformen wird das Halo-Implantat 316 verwendet, um eine Mischung aus Indium und Kohlenstoff einzuführen. In einer weiteren beispielhaften Ausführungsform wird das Halo-Implantat 316 verwendet, um Indium, Bor oder BF2 in die hochdotierten Bereiche 320 einzuführen.
  • 3I veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, wo ein Gegen-Halo-Implantat 322 durchgeführt wird, um Dotandenverunreinigungen des zweiten Verunreinigungstyps (d. h. anders als für die Quellung und Vth-Implantat 304) abzuscheiden. Das Gegen-Halo-Implantat 322 kompensiert die hochdosierten Bereiche 320 an gegenüberliegenden Rändern des Kanalbereichs. Für Ausführungsformen, wo das Substrat 302 Transistoren mit mehreren Kanallängen einschließt, wird das Gegen-Halo-Implantat 322 bei einem zweiten Neigungswinkel (θ2) zur Vertikalen durchgeführt. Der zweite Neigungswinkel (θ2) wird so gewählt, dass verhältnismäßig langkanalige Transistoren das Implantat aufnehmen, während verhältnismäßig kurzkanalige Transistoren das Implantat aufgrund einer Beschattung des Kanalbereichs der Kurzkanaltransistoren durch angrenzende Gate-Strukturen nicht aufnehmen, wie es in den Ausführungsformen 1A–1B erkannt wird. Als ein Ergebnis des Gegen-Halo-Implantats 322 sind DIBL, Gds und Verstärkung der Langkanaltransistoren verbessert, während die Kurzkanaltransistoren unbeeinträchtigt bleiben.
  • In einigen Ausführungsformen werden der Epitaxialkanal, gebildet durch die Schicht aus Substratmaterial 308 und die Schicht aus Kohlenstoff enthaltendem Material 306, einem zusätzlichen Vth-Implantat mit „großer Dosis” unterzogen. Das zusätzliche Vth-Implantat verbessert eine Source-zu-Drain-Stromkontrolle innerhalb des Epitaxialkanals der Kurzkanalbauelemente. Jedoch kann das zusätzliche Vth-Implantat ebenfalls das Vth der Langkanaltransistoren um etwa 30 mV bis etwa 100 mV erhöhen. Demzufolge kann das Beschattungsverfahren, das verwendet wird, um lediglich die Langkanaltransistoren gegenüber dem Gegen-Halo-Implantat 322 zu exponieren, ebenfalls verwendet werden, um den Effekten des Vth-Implantats mit großer Dosis entgegenzuwirken. Die Epitaxialkanäle der Langkanaltransistoren können für ein „Langkanal-Vth-Reduktions”-Implantat durch das Beschattungsverfahren isoliert werden. Das Langkanal-Vth-Reduktions-Implantat wird bei dem zweiten Neigungswinkel (θ2) so durchgeführt, dass verhältnismäßig langkanalige Transistoren wiederum das Implantat aufnehmen, während verhältnismäßig kurzkanalige Transistoren wiederum das Implantat aufgrund einer Beschattung nicht aufnehmen. Die Bedingungen (z. B. Dosis, Energie, etc.) des Langkanal-Vth-Reduktionsimplantats können abgestimmt werden, um die Grenzwertspannung der Langkanalbauelemente um den gleichen Betrag zu reduzieren, um den sie aufgrund des Vth-Implantats mit hoher Dosis erhöht wurden (z. B. um etwa 30 mV bis etwa 100 mV). Als ein Ergebnis kann das Vth der Langkanaltransistoren umfassend Epitaxialkanäle der Schicht aus Substratmaterial 308 und der Schicht aus Kohlenstoff enthaltendem Material 306 hergestellt werden, um ungefähr gleich dem Vth eines Langkanaltransistors mit einem Kanal geformt direkt innerhalb eines Substrats 302 (d. h. ohne den Epitaxialkanal) zu sein.
  • 3J veranschaulicht eine Querschnittsansicht einiger Ausführungsformen des Substrats 302, wo Abstandshalter 324 gebildet sind. In zahlreichen Ausführungsformen schließen die Abstandshalter 324 Kombinationen aus Oxid, Silizium und Nitrid ein. Anschließend an eine Abstandshalterbildung wird das Substrat 302 dann einem Source/Drain-Implantat 326 unterzogen oder einer eingebetteten Source/Drain-Epitaxie (nicht gezeigt), um Source/Drain-Bereiche 328 zu bilden. Die Source/Drain-Bereiche 328 schließen den zweiten Dotanden-Verunreinigungstyp ein (d. h. den gleichen wie für die LDD-Bereiche 318).
  • 4 veranschaulicht eine Querschnittsansicht einiger Ausführungsformen eines Kurzkanaltransistors 400A und eines Langkanaltransistors 400B, gebildet auf einem gleichen Substrat 302 durch die Ausführungsformen der 3A3J. Der Kurzkanaltransistor 400A nimmt das Gegen-Halo-Implantat 322 nicht auf, während es der Langkanaltransistor 400B tut. Der Kurzkanaltransistor 400A weist einen ersten Kanalbereich einer Kanallange L1 auf, und der Langkanaltransistor 400B weist einen zweiten Kanalbereich einer Kanallänge L2 auf, wobei L2 > L1 ist. Für die Ausführungsformen der 4 schließen die Kurzkanal- und Langkanaltransistoren 400A, 400B, n-artige Metalloxidfeldeffekttransistoren (MOSFETs), gebildet auf einem Siliziumsubstrat 302, ein. Die Kurzkanal- und Langkanaltransistoren 400A, 400B schließen ferner erste und zweite Kanalbereiche 402A, 402B ein, die mit p-artigen Dotanden-Verunreinigungen (z. B. Bor, Kohlenstoff, Indium, etc.) bei höheren Konzentrationsgehalten als für andere Teile der ersten und zweiten Kanalbereiche 402A, 402B dotiert worden sind. Die LDD- und Source/Drain-Bereiche 318, 328 werden mit n-artigen Dotanden-Verunreinigungen (z. B. Phosphor, Antimon oder Arsen) gebildet.
  • 5A5B veranschaulichen Graphen 500A, 500B einiger Ausführungsformen von Dotandenkonzentrationen für den Kurzkanaltransistor 400A und den Langkanaltransistor 400B entlang der Linie AA' von 4. 5A5B veranschaulichen, dass die Dotandenkonzentration höher ist an den Rändern sowohl der ersten als auch zweiten Kanalbereiche 402A, 402B bevor und nach dem Gegen-Halo-Implantat 322. Es wird jedoch beobachtet, dass die Dotandenkonzentration an jedem Ende des zweiten Kanalbereichs 402B um einen Betrag Δ nach dem Gegen-Halo-Implantat 322 reduziert ist. Beim Langkanaltransistor 400B kann die Dotandenkonzentration, die durch das Halo-Implantat 316 erzeugt wird, durch das Gegen-Halo-Implantat 322 kompensiert werden, was in einem flacheren Kanalprofil entlang der Kanalrichtung AA' für den Langkanaltransistor 400B relativ zu dem Kurzkanaltransistor 400A resultiert.
  • Es ist zu beachten, dass, obwohl die obige beispielhafte Ausführungsform für ein n-artiges MOSET beschrieben worden ist, die offenbarten Ausführungsformen auch auf ein p-artiges MOSFET angewendet werden können durch Umkehren der Dotandenarten gegenüber den hierin beschriebenen.
  • 6 veranschaulicht einige Ausführungsformen eines Verfahrens 600 zum Bilden eines Langkanaltransistors mit einem Gegen-Halo-Implantat, während gleichzeitig ein Kurzkanaltransistor davon abgehalten wird, das Gegen-Halo-Implantat aufzunehmen.
  • Bei 602 werden Dotanden-Verunreinigungen eines ersten Verunreinigungstyps in erste und zweite Transistorbereiche eines Substrats eingeführt, wo der erste und zweite Transistorbereich erste und zweite Kanalbereiche und erste und zweite Source/Drain-Bereiche einschließt. In einigen Ausführungsformen wird ein Tempern nach Einführen der Dotanden-Verunreinigungen eines ersten Verunreinigungstyps in die ersten und zweiten Transistorbereiche des Substrats durchgeführt.
  • Bei 604 wird das Substrat über den ersten und zweiten Transistorbereichen ausgenommen.
  • Bei 606 werden erste und zweite Schichten aus kohlenstoffhaltigem Material (z. B. Siliziumcarbid) über den ersten und zweiten Transistorbereichen gebildet.
  • Bei 608 werden erste und zweite Schichten aus Substratmaterial (z. B. Silizium) über den ersten und zweiten Schichten aus Kohlenstoff enthaltendem Material gebildet.
  • Bei 610 werden erste und zweite Gate-Dielektrika (z. B. HfO) über den ersten und zweiten Schichten aus Substratmaterial gebildet.
  • Bei 612 werden erste und zweite Gate-Strukturen über den ersten und zweiten Gate-Dielektrika in den ersten und zweiten Kanalbereichen gebildet. Die erste Gate-Struktur wird durch einen ersten horizontalen Abstand (s1) von einer dritten Gate-Struktur getrennt. Und die zweite Gate-Struktur wird durch einen zweiten horizontalen Abstand (s2) von einer vierten Gate-Struktur getrennt, wobei s2 > s1 ist. Die ersten bis vierten Gate-Strukturen weisen alle eine vertikale Abmessung (h) auf.
  • Bei 614 wird ein erstes Implantat (d. h. ein Halo-Implantat) bei einem ersten Winkel durchgeführt, um weitere Dotanden-Verunreinigungen des ersten Verunreinigungstyps in das Substrat an Rändern der ersten und zweiten Kanalbereiche einzuführen.
  • Bei 616 wird ein zweites Implantat (d. h. ein Gegen-Halo-Implantat) bei einem zweiten Winkel zur Vertikalen durchgeführt, um Dotanden-Verunreinigungen des zweiten Typs einzuführen, der entgegengesetzt ist zum ersten Verunreinigungstyp, in die ersten und zweiten Kanalbereiche. Der zweite Winkel ist größer als ein erster Grenzwert von Arktustangens (s2/h), so dass das zweite Implantat gesperrt wird, die ersten Kanalbereiche durch die dritte Gate-Struktur zu erreichen. Der zweite Winkel ist ebenfalls kleiner als ein zweiter Grenzwert von Arktustangens (s2/h), so dass das Implantat nicht gesperrt wird, die zweiten Kanalbereiche durch die vierte Gate-Struktur zu erreichen.
  • In einigen Ausführungsformen wird ein drittes Implantat (z. B. Vth-Implantat „großer Dosis”) durchgeführt, um erste zusätzliche Dotanden-Verunreinigungen in die ersten und zweiten Kanalbereiche einzuführen. Das dritte Implantat erhöht eine Source-zu-Drain-Stromkontrolle innerhalb des ersten Kanalbereichs, erhöht jedoch eine Grenzwertspannung innerhalb des zweiten Kanalbereichs um einen Δ-Wert (z. B. in einem Bereich von etwa 30 mV bis etwa 100 mV). In solchen Ausführungsformen kann ein viertes Implantat (z. B. ein „Langkanal-Vth-Reduktions”-Implantat) bei dem zweiten Winkel zur Vertikalen durchgeführt werden, um zweite zusätzliche Dotanden-Verunreinigungen in den zweiten Kanalbereich einzuführen. Die zweiten zusätzlichen Dotanden-Verunreinigungen werden wiederum gesperrt, den ersten Kanalbereich durch die dritte Gate-Struktur zu erreichen. Das vierte Implantat reduziert die Grenzwertspannung innerhalb des zweiten Kanalbereichs um etwa den Δ-Wert. Als ein Ergebnis kann der Vth der Transistoren mit zweiten Kanalbereichen umfassend Epitaxialkanäle so hergestellt werden, um etwa gleich dem Vth der Transistoren mit zweiten Kanalbereichen zu sein, die direkt innerhalb eines Substrats 302 gebildet sind.
  • Es wird verstanden werden, dass äquivalente Änderungen und/oder Modifikationen einem Fachmann auf dem Gebiet basierend auf einem Lesen und/oder Verstehen der Beschreibung und der beigefügten Zeichnungen klar werden wird. Die Offenbarung hierin schließt all solche Modifikationen und Änderungen ein und ist im allgemeinen nicht beabsichtigt, dadurch begrenzt zu sein. Zusätzlich, während ein bestimmtes Merkmal oder eine Erscheinung in Bezug auf lediglich eine oder mehrere Implementationen offenbart worden ist, kann ein solches Merkmal oder eine solche Erscheinung mit einem oder mehreren anderen Merkmalen und/oder Erscheinungen anderer Implementationen kombiniert werden, wie es gewünscht sein kann. Für den Fall, dass die Begriffe „einschließen”, „aufweisen”, „mit” und/oder Varianten derselben hierin verwendet werden, sind solche Begriffe beabsichtigt, um auch die Bedeutung „umfassen” einzuschließen. Auch „beispielhaft” ist lediglich so gemeint, um ein Beispiel zu bedeuten, jedoch nicht das beste. Es ist auch zu verstehen, dass Merkmale, Schichten und/oder Elemente, die hierin gezeigt sind, mit bestimmten Abmessungen und/oder Ausrichtungen relativ zueinander zum Zwecke der Vereinfachung und zum besseren Verständnis veranschaulicht sind, und dass die tatsächlichen Abmessungen und/oder Ausrichtungen beträchtlich von den hierin veranschaulichten abweichen können.
  • Daher betreffen einige Ausführungsformen der vorliegenden Erfindung ein Implantat, das eine Langkanaltransistorleistung mit geringer oder keiner Beeinträchtigung auf die Kurzkanaltransistorleistung verbessert. Um DIBL zu mindern, werden sowohl Langkanal- als auch Kurzkanaltransistoren auf einem Substrat einem Halo-Implantat unterworfen. Während das Halo-Implantat Kurzkanaltransistorleistung verbessert, mindert es die Langkanaltransistorleistung. Daher wird ein Gegen-Halo-Implantat an den Langkanaltransistoren lediglich durchgeführt, um deren Leistung wieder herzustellen. Um dies zu erreichen, wird das Gegen-Halo-Implantat bei einem Winkel durchgeführt, der Dotanden-Verunreinigungen nahe der Source/Drain-Bereiche der Langkanaltransistoren einführt, um den Effekten des Halo-Implantats entgegenzuwirken, während der Gegen-Halo-Implantat gleichzeitig beschattet wird, den Kanal der Kurzkanaltransistoren zu erreichen. Die hierin offenbarten Ausführungsformen können Langkanaltransistor-DIBL, Gds und Verstärkung mit geringer oder keiner Beeinträchtigung der Kurzkanaltransistorleistung verbessern, und ohne zusätzliche Maskenkosten.
  • In einigen Ausführungsformen betrifft die vorliegende Erfindung ein Verfahren umfassend Bilden einer Vielzahl von ersten Gate-Strukturen auf einem Substrat, wobei die ersten Gate-Strukturen eine vertikale Abmessung (h) aufweisen und durch einen ersten horizontalen Abstand (s1) getrennt sind. Das Verfahren umfasst ferner ein Bilden einer Vielzahl von zweiten Gate-Strukturen auf dem Substrat, wobei die zweiten Gate-Strukturen die vertikale Abmessung (h) aufweisen und durch einen zweiten horizontalen Abstand (s2) getrennt sind, der größer ist als der erste horizontale Abstand (s1). Das Verfahren umfasst ferner ein Durchführen eines Implantats bei einem Winkel zur Vertikalen, um Dotanden-Verunreinigungen in das Substrat einzuführen, wobei der Winkel größer ist als ein erster Grenzwert, so dass das Implantat gesperrt wird, das Substrat durch die ersten Gate-Strukturen zu erreichen.
  • In einigen Ausführungsformen betrifft die vorliegende Erfindung ein Verfahren umfassend Einführen von Dotanden-Verunreinigungen eines ersten Verunreinigungstyps in erste und zweite Transistorbereiche eines Substrats, wobei die ersten und zweiten Transistorbereiche erste und zweite Kanalbereiche bzw. erste und zweite Source/Drain-Bereiche umfassen. Das Verfahren umfasst ferner ein Ausnehmen des Substrats über den ersten und zweiten Transistorbereichen und ein Bilden erster und zweiter Schichten aus Kohlenstoff enthaltendem Material über den ersten und zweiten Transistorbereichen. Das Verfahren umfasst ferner ein Bilden von ersten und zweiten Schichten aus Substratmaterial über den ersten und zweiten Schichten aus Kohlenstoff enthaltendem Material und ein Bilden von ersten und zweiten Gate-Dielektrika über den ersten und zweiten Schichten aus Substratmaterial. Das Verfahren umfasst ferner ein Bilden von ersten und zweiten Gate-Strukturen über den ersten und zweiten Gate-Dielektrika in den ersten und zweiten Kanalbereichen. Die erste Gate-Struktur wird durch einen ersten horizontalen Abstand (s1) von einer dritten Gate-Struktur getrennt, und die zweite Gate-Struktur wird durch einen zweiten horizontalen Abstand (s2) von einer vierten Gate-Struktur (s2 > s1) getrennt. Das Verfahren umfasst ferner ein Durchführen eines ersten Implantats bei einem ersten Winkel, um weitere Dotanden-Verunreinigungen des ersten Verunreinigungstyps in das Substrat an Rändern der ersten und zweiten Kanalbereiche einzuführen. Das Verfahren umfasst ferner ein Durchführen eines zweiten Implantats bei einem zweiten Winkel zur Vertikalen, um Dotanden-Verunreinigungen des zweiten Typs in die ersten und zweiten Kanalbereiche einzuführen. Die Dotanden-Verunreinigungen des zweiten Typs sind von denjenigen des ersten Verunreinigungstyps verschieden. Der zweite Winkel ist größer als ein erster Grenzwert, so dass das zweite Implantat gesperrt wird, den ersten Kanal durch die dritte Gate-Struktur zu erreichen.
  • In einigen Ausführungsformen betrifft die vorliegende Erfindung einen integrierten Chip, der auf einem Halbleitersubstrat gebildet ist, umfassend einen ersten Transistor umfassend eine erste Gate-Elektrode und einen ersten Kanalbereich einer ersten Kanallänge L1, und einen zweiten Transistor umfassend eine zweite Gate-Elektrode und einen zweiten Kanalbereich einer zweiten Kanallänge L2. Die ersten und zweiten Kanalbereiche sind mit Dotanden-Verunreinigungen so dotiert worden, dass die Dotanden-Konzentration höher ist an den Rändern sowohl des ersten als auch des zweiten Kanalbereichs als in der Mitte der ersten und zweiten Kanalbereiche. Und die Dotanden-Konzentration ist höher an den Rändern des ersten Kanalbereichs als an den Rändern des zweiten Kanalbereichs.

Claims (20)

  1. Verfahren, welches umfasst: Bilden einer Vielzahl von ersten Gate-Strukturen auf einem Substrat, wobei die ersten Gate-Strukturen eine vertikale Abmessung (h) aufweisen und durch einen ersten horizontalen Abstand (s1) getrennt sind; Bilden einer Vielzahl von zweiten Gate-Strukturen auf dem Substrat, wobei die zweiten Gate-Strukturen die vertikale Abmessung (h) aufweisen und durch einen zweiten horizontalen Abstand (s2) getrennt sind, der größer ist als der erste horizontale Abstand (s1); und Durchführen eines Implantats bei einem Winkel zur Vertikalen, um Dotandenverunreinigungen in das Substrat einzuführen, wobei der Winkel größer ist als ein erster Grenzwert, so dass das Implantat gesperrt wird, das Substrat durch die ersten Gate-Strukturen zu erreichen.
  2. Verfahren nach Anspruch 1, wobei der Winkel kleiner ist als ein zweiter Grenzwert, so dass das Implantat nicht gesperrt wird, das Substrat durch die zweiten Gate-Strukturen zu erreichen.
  3. Verfahren nach Anspruch 2, wobei der zweite Grenzwert ungefähr gleich zum Arktustangens (s2/h) ist.
  4. Verfahren nach Anspruch 1, wobei der ersten Grenzwert ungefähr gleich zum Arktustangens (s1/h) ist.
  5. Verfahren, welches umfasst: Einführen von Dotandenverunreinigungen eines ersten Verunreinigungstyps in erste und zweite Transistorbereiche eines Substrats, wobei der erste und der zweite Transistorbereich erste und zweite Kanalbereiche bzw. erste und zweite Source/Drain-Bereiche umfasst; Ausnehmen des Substrats über den ersten und zweiten Transistorbereichen; Bilden von ersten und zweiten Schichten von Kohlenstoff enthaltendem Material über den ersten und zweiten Transistorbereichen; Bilden von ersten und zweiten Schichten aus Substratmaterial über den ersten und zweiten Schichten aus Kohlenstoff enthaltendem Material; Bilden von ersten und zweiten Gate-Dielektrika über den ersten und zweiten Schichten des Substratmaterials; Bilden von ersten und zweiten Gate-Strukturen über den ersten und zweiten Gate-Dielektrika in den ersten und zweiten Kanalbereichen, wobei die erste Gate-Struktur durch einen ersten horizontalen Abstand (s1) von einer dritten Gate-Struktur getrennt wird, wobei die zweite Gate-Struktur durch einen zweiten horizontalen Abstand (s2) von einer vierten Gate-Struktur getrennt wird, wobei s2 größer als s1 ist; Durchführen eines ersten Implantats bei einem ersten Winkel, um weitere Dotandenverunreinigungen des ersten Verunreinigungstyps in das Substrat an Rändern der ersten und zweiten Kanalbereiche einzuführen; und Durchführen eines zweiten Implantats bei einem zweiten Winkel zur Vertikalen, um Dotandenverunreinigungen eines zweiten Verunreinigungstyps einzuführen, welcher vom ersten Verunreinigungstyp verschieden ist, wobei der zweite Winkel größer ist als ein erster Grenzwert, so dass das zweite Implantat gesperrt wird, den ersten Kanalbereich durch die dritte Gate-Struktur zu erreichen.
  6. Verfahren nach Anspruch 5, wobei der zweite Winkel kleiner ist als ein zweiter Grenzwert, so dass das Implantat nicht gesperrt wird, den zweiten Kanalbereich durch die vierte Gate-Struktur zu erreichen.
  7. Verfahren nach Anspruch 6, wobei die ersten bis vierten Gate-Strukturen eine vertikale Abmessung (h) aufweisen.
  8. Verfahren nach Anspruch 7, wobei der zweite Grenzwert ungefähr gleich zum Arktustangens (s2/h) ist.
  9. Verfahren nach Anspruch 7, wobei der erste Grenzwert ungefähr gleich zum Arktustangens (s1/h) ist.
  10. Verfahren nach Anspruch 5, wobei die ersten und zweiten Implantate Ionenimplantate umfassen.
  11. Verfahren nach Anspruch 5, wobei die Dotandenverunreinigungen des ersten Verunreinigungstyps Bor, Kohlenstoff, Indium oder Kombinationen derselben umfassen.
  12. Verfahren nach Anspruch 5, wobei die Dotandenverunreinigungen des zweiten Verunreinigungstyps Phosphor, Antimon, Arsen oder Kombinationen derselben umfassen.
  13. Verfahren nach Anspruch 5, wobei der erste Verunreinigungstyp n-Typ und der zweite Verunreinigungstyp p-Typ oder umgekehrt umfasst.
  14. Verfahren nach Anspruch 5, weiter umfassend Durchführen einer Temperung nach Einführen der Dotandenverunreinigungen des ersten Verunreinigungstyps in die ersten und zweiten Transistorbereiche des Substrats.
  15. Verfahren nach Anspruch 5, wobei erste und zweite Gate-Strukturen eine Hartmaske, angeordnet oberhalb einer Gate-Elektrode, umfassen.
  16. Verfahren nach Anspruch 5, wobei das Substratmaterial Silizium umfasst und das Kohlenstoff enthaltende Material Lithiumcarbid umfasst.
  17. Verfahren nach Anspruch 5, weiter umfassend: Durchführen eines dritten Implantats, um erste zusätzliche Dotandenverunreinigungen in die ersten und zweiten Kanalbereiche einzuführen, wobei das dritte Implantat eine Source-zu-Drain-Stromkontrolle innerhalb des ersten Kanalbereichs steigert, jedoch eine Grenzwertspannung innerhalb des zweiten Kanalbereichs um einen Delta-Wert erhöht; und Durchführen eines vierten Implantats bei dem zweiten Winkel zur Vertikalen, um zweite zusätzliche Dotandenverunreinigungen in den zweiten Kanalbereich einzuführen, während die zweiten zusätzlichen Dotandenverunreinigungen gesperrt werden, den ersten Kanalbereich durch die dritte Gate-Struktur zu erreichen, wobei das vierte Implantat die Grenzwertspannung innerhalb des zweiten Kanalbereichs um etwa den Delta-Wert reduziert.
  18. Integrierter Chip, gebildet auf einem Halbleitersubstrat, welcher umfasst: einen ersten Transistor umfassend eine erste Gate-Elektrode und einen ersten Kanalbereich einer ersten Kanallänge L1; einen zweiten Transistor umfassend eine zweite Gate-Elektrode und einen zweiten Kanalbereich einer zweiten Kanallänge L2; wobei die ersten und zweiten Kanalbereiche mit Dotandenverunreinigungen dotiert worden sind, so dass eine Dotandenkonzentration der ersten und zweiten Kanalbereiche höher an Rändern von beiden der ersten und zweiten Kanalbereiche als in einer Mitte der ersten und zweiten Kanalbereiche ist; und wobei die Dotandenkonzentration höher an den Rändern des ersten Kanalbereichs als an den Rändern des zweiten Kanalbereichs ist.
  19. Integrierter Chip nach Anspruch 18, wobei das Halbleitersubstrat eine Schicht aus Siliziumcarbid angeordnet unterhalb einer Schicht aus Silizium, umfasst.
  20. Integrierter Chip nach Anspruch 18, wobei die ersten und zweiten Gate-Elektroden über ersten und zweiten Gate-Dielektrika, umfassend ein Dielektrikum mit hohem k-Wert, angeordnet sind.
DE102014019413.2A 2014-01-16 2014-12-22 Herstellungsverfahren für kontrollierte Dotandenprofile in Kanalbereichen von Transistoren Active DE102014019413B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/156,496 US9425099B2 (en) 2014-01-16 2014-01-16 Epitaxial channel with a counter-halo implant to improve analog gain
US14/156,496 2014-01-16

Publications (2)

Publication Number Publication Date
DE102014019413A1 true DE102014019413A1 (de) 2015-07-30
DE102014019413B4 DE102014019413B4 (de) 2018-05-17

Family

ID=53521973

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014019413.2A Active DE102014019413B4 (de) 2014-01-16 2014-12-22 Herstellungsverfahren für kontrollierte Dotandenprofile in Kanalbereichen von Transistoren

Country Status (3)

Country Link
US (2) US9425099B2 (de)
DE (1) DE102014019413B4 (de)
TW (1) TWI541872B (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4884974A (en) * 1987-12-21 1989-12-05 View-Master Ideal Group, Inc. Interactive talking book and audio player assembly
US10811528B2 (en) 2018-03-21 2020-10-20 International Business Machines Corporation Two step fin etch and reveal for VTFETs and high breakdown LDVTFETs
US11031461B2 (en) * 2019-08-25 2021-06-08 Genesic Semiconductor Inc. Manufacture of robust, high-performance devices

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2823393B2 (ja) * 1991-09-09 1998-11-11 シャープ株式会社 半導体メモリ素子及びその製造方法
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US6040208A (en) * 1997-08-29 2000-03-21 Micron Technology, Inc. Angled ion implantation for selective doping
US6215148B1 (en) * 1998-05-20 2001-04-10 Saifun Semiconductors Ltd. NROM cell with improved programming, erasing and cycling
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6566204B1 (en) * 2000-03-31 2003-05-20 National Semiconductor Corporation Use of mask shadowing and angled implantation in fabricating asymmetrical field-effect transistors
US6589847B1 (en) * 2000-08-03 2003-07-08 Advanced Micro Devices, Inc. Tilted counter-doped implant to sharpen halo profile
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6489223B1 (en) * 2001-07-03 2002-12-03 International Business Machines Corporation Angled implant process
US6906350B2 (en) 2001-10-24 2005-06-14 Cree, Inc. Delta doped silicon carbide metal-semiconductor field effect transistors having a gate disposed in a double recess structure
JP4463482B2 (ja) 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
JP3857622B2 (ja) * 2002-07-15 2006-12-13 株式会社東芝 半導体装置およびその製造方法
US7491988B2 (en) 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
JP4149980B2 (ja) * 2004-09-17 2008-09-17 シャープ株式会社 半導体製造装置の製造方法
US20060065937A1 (en) 2004-09-30 2006-03-30 Thomas Hoffmann Short channel effect of MOS devices by retrograde well engineering using tilted dopant implantation into recessed source/drain regions
KR100673001B1 (ko) * 2005-04-04 2007-01-24 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조방법
US7952149B2 (en) * 2005-05-12 2011-05-31 International Business Machines Corporation Anti-halo compensation
US7569443B2 (en) 2005-06-21 2009-08-04 Intel Corporation Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US7838369B2 (en) * 2005-08-29 2010-11-23 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
US7608515B2 (en) 2006-02-14 2009-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion layer for stressed semiconductor devices
US7761278B2 (en) 2007-02-12 2010-07-20 International Business Machines Corporation Semiconductor device stress modeling methodology
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7485519B2 (en) 2007-03-30 2009-02-03 International Business Machines Corporation After gate fabrication of field effect transistor having tensile and compressive regions
WO2008137480A2 (en) 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
US20080272395A1 (en) 2007-05-03 2008-11-06 Dsm Solutions, Inc. Enhanced hole mobility p-type jfet and fabrication method therefor
US7943468B2 (en) * 2008-03-31 2011-05-17 Intel Corporation Penetrating implant for forming a semiconductor device
US7838887B2 (en) 2008-04-30 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain carbon implant and RTA anneal, pre-SiGe deposition
US20090289280A1 (en) 2008-05-22 2009-11-26 Da Zhang Method for Making Transistors and the Device Thereof
KR20100080159A (ko) 2008-12-31 2010-07-08 주식회사 동부하이텍 반도체 소자 및 그 제조방법
JP5350815B2 (ja) 2009-01-22 2013-11-27 株式会社東芝 半導体装置
KR20100133676A (ko) * 2009-06-12 2010-12-22 삼성전자주식회사 경사 이온 주입을 이용한 비휘발성 메모리 장치의 제조 방법
US8017483B2 (en) * 2009-06-29 2011-09-13 International Business Machines Corporation Method of creating asymmetric field-effect-transistors
US20110031503A1 (en) 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
US20110079861A1 (en) 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US20110215376A1 (en) 2010-03-08 2011-09-08 International Business Machines Corporation Pre-gate, source/drain strain layer formation
US8304840B2 (en) * 2010-07-29 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structures of a semiconductor device
US8659054B2 (en) 2010-10-15 2014-02-25 International Business Machines Corporation Method and structure for pFET junction profile with SiGe channel
US8357579B2 (en) 2010-11-30 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8298895B1 (en) * 2011-10-31 2012-10-30 International Business Machines Corporation Selective threshold voltage implants for long channel devices
US8993424B2 (en) 2011-11-03 2015-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor transistor device with optimized dopant profile
KR20130049541A (ko) 2011-11-04 2013-05-14 삼성전자주식회사 이동통신 시스템에서 데이터를 전송하기 위한 장치 및 방법
KR101868803B1 (ko) 2011-11-04 2018-06-22 삼성전자주식회사 스트레스 기억 기술(smt)을 이용한 반도체 장치의 제조 방법
US20130200455A1 (en) 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
TWI521574B (zh) 2012-05-23 2016-02-11 聯華電子股份有限公司 半導體製程
US8669167B1 (en) * 2012-08-28 2014-03-11 International Business Machines Corporation Techniques for metal gate workfunction engineering to enable multiple threshold voltage FINFET devices
US9202917B2 (en) 2013-07-29 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Buried SiGe oxide FinFET scheme for device enhancement
US8916442B2 (en) 2013-01-17 2014-12-23 Globalfoundries Inc. Method of forming step doping channel profile for super steep retrograde well field effect transistor and resulting device
US9972524B2 (en) 2013-03-11 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a semiconductor device
US9224814B2 (en) 2014-01-16 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Process design to improve transistor variations and performance
US9252236B2 (en) * 2014-02-25 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Counter pocket implant to improve analog gain
US9466670B2 (en) 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices

Also Published As

Publication number Publication date
US20150200139A1 (en) 2015-07-16
TWI541872B (zh) 2016-07-11
US9425099B2 (en) 2016-08-23
US20160284800A1 (en) 2016-09-29
DE102014019413B4 (de) 2018-05-17
US9899475B2 (en) 2018-02-20
TW201539549A (zh) 2015-10-16

Similar Documents

Publication Publication Date Title
DE102008002647B4 (de) Verfahren zum Herstellen eines siliziumbasierten MOS-Halbleiterbauelements mit Rauschreduktion unter Verwendung von Gegendotierung
DE4212829C2 (de) Verfahren zur Herstellung von Metall-Oxid-Halbleiter-Feldeffekttransistoren
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE10214066B4 (de) Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben
DE102006019835B4 (de) Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
DE112011101433B4 (de) Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
DE102010037736A1 (de) Tunnel-Feldeffekttransistoren
DE102005009976A1 (de) Transistor mit Dotierstoff tragendem Metall im Source- und Drainbereich
DE112010004330T5 (de) Asymmetrische Epitaxie und Anwendung derselben
DE102012205662B4 (de) MOS-Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102010016000A1 (de) Halbleitervorrichtungen und Verfahren zum Herstellen einer Halbleitervorrichtung
DE102007004862B4 (de) Verfahren zur Herstellung von Si-Ge enthaltenden Drain/Source-Gebieten in Transistoren mit geringerem Si/Ge-Verlust
DE102010037889A1 (de) Halbleitervorrichtungen und -verfahren
DE102008063432B4 (de) Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial
DE102014019380A1 (de) Ein Transistordesign
DE112006001520B4 (de) Prozess für die Herstellung erhabener Source- und Drain-Gebiete mit zu entfernenden Abstandshaltern, wobei "Mausohren" vermieden werden
DE102016114913B4 (de) Leistungs-Mosfets und Verfahren zu deren Herrstellung
DE102014019413B4 (de) Herstellungsverfahren für kontrollierte Dotandenprofile in Kanalbereichen von Transistoren
DE102020127426A1 (de) Ein-/Ausgabevorrichtungen
DE10341359B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102016113819B4 (de) Verfahren zur Herstellung eines Halbleiter-Bauelements
DE102008029791A1 (de) Verfahren zur Herstellung von Halbleiterbauelementen
DE102009021480B4 (de) Reduzierte Siliziumdicke in n-Kanaltransistoren in SOI-CMOS Bauelementen
DE10353772B4 (de) Verfahren zur Herstellung von Transistorstrukturen mit LDD
DE102018106581B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final