DE102012217482A1 - Strukturieren von Kontakten in Kohlenstoff-Nanoröhren-Einheiten - Google Patents

Strukturieren von Kontakten in Kohlenstoff-Nanoröhren-Einheiten Download PDF

Info

Publication number
DE102012217482A1
DE102012217482A1 DE102012217482A DE102012217482A DE102012217482A1 DE 102012217482 A1 DE102012217482 A1 DE 102012217482A1 DE 102012217482 A DE102012217482 A DE 102012217482A DE 102012217482 A DE102012217482 A DE 102012217482A DE 102012217482 A1 DE102012217482 A1 DE 102012217482A1
Authority
DE
Germany
Prior art keywords
layer
carbon nanotube
electrically insulating
protective layer
insulating protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102012217482A
Other languages
English (en)
Other versions
DE102012217482B4 (de
Inventor
Josephine B. Chang
Martin Glodde
Michael A. Guillorn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE102012217482A1 publication Critical patent/DE102012217482A1/de
Application granted granted Critical
Publication of DE102012217482B4 publication Critical patent/DE102012217482B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/481Insulated gate field-effect transistors [IGFETs] characterised by the gate conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/484Insulated gate field-effect transistors [IGFETs] characterised by the channel regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/80Constructional details
    • H10K10/82Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Thin Film Transistor (AREA)

Abstract

Eine Struktur weist ein Substrat auf, welches eine Kohlenstoff-Nanoröhre (CNT) aufweist, die über einer Fläche des Substrats angeordnet ist. Die CNT ist teilweise innerhalb einer elektrisch isolierenden Schutzschicht angeordnet. Die Struktur weist ferner einen Gate-Stapel auf, welcher über dem Substrat angeordnet ist. Ein erster Abschnitt einer Länge der CNT, der nicht von der elektrisch isolierenden Schutzschicht bedeckt ist, führt durch den Gate-Stapel. Source- und Drain-Kontakte sind in Nachbarschaft zu dem Gate-Stapel angeordnet, wobei zweite und dritte Abschnitte der Länge der CNT, die nicht von der elektrisch isolierenden Schutzschicht bedeckt sind, elektrisch leitfähig mit den Source- und Drain-Kontakten verbunden sind. Der Gate-Stapel und die Source- und Drain-Kontakte sind innerhalb der elektrisch isolierenden Schutzschicht und innerhalb einer elektrisch isolierenden organischen Planarisierungsschicht enthalten, welche über der elektrisch isolierenden Schutzschicht angeordnet ist. Es wird auch ein Verfahren zur Herstellung eines Transistors auf CNT-Basis beschrieben.

Description

  • TECHNISCHES GEBIET:
  • Die beispielhaften Ausführungsformen der vorliegenden Erfindung betreffen allgemein elektronische Einheiten auf Kohlenstoff-Nanoröhren-Basis und insbesondere Verfahren zur Verarbeitung von Kohlenstoff-Nanoröhren-Einheiten zum Bilden von elektrischen Gate- und Source/Drain-Kontakten in einem Transistor auf Kohlenstoff-Nanoröhren-Basis.
  • HINTERGRUND
  • Da die Maßstabsverkleinerung für herkömmliche integrierte CMOS-Schaltungen auf quantenmechanische Grenzen stößt, sind in der Halbleiterindustrie alternative Nanostrukturen und Materialien untersucht worden. Von solchen Nanostrukturen und Materialien bieten Kohlenstoff-Nanoröhren (Carbon Nanotubes, CNTs) ausgezeichnete innere Eigenschaften, welche für Hochleistungseinheiten im Nanomaßstab geeignet sind.
  • CNTs sind allotrope Modifikationen des Kohlenstoffs, welche eine zylindrische Nanostruktur aufweisen und Mitglieder der Strukturfamilie der Fullerene sind. Ihr Name leitet sich aus ihrer langen hohlen Struktur ab, die Wände aufweist, welche durch ein Atom dicke Kohlenstofflagen gebildet werden, die als Graphen bekannt sind.
  • CNTs können verwendet werden, um elektronische Einheiten, wie z. B. Transistoren, zu konstruieren, wie zum Beispiel in US 2011/0127492 A1 desselben Inhabers wie der vorliegenden Patentanmeldung, „Field Effect Transistor Having Nanostructure Channel”, Josephine B. Chang, Michael A. Guillorn und Eric A. Joseph, und in US 2011/0127493 A1 desselben Inhabers wie der vorliegenden Patentanmeldung, „Self Aligned Carbide Source/Drain FET”, Cyril Cabral, Jr., Josephine B. Chang, Alfred Grill, Michael A. Guillorn, Christian Lavoie und Eugene J. O'Sullivan, bewiesen.
  • KURZDARSTELLUNG
  • Gemäß einer ersten Erscheinungsform stellen die beispielhaften Ausführungsformen der vorliegenden Erfindung eine Struktur bereit, welche ein Substrat aufweist, das eine Kohlenstoff-Nanoröhre aufweist, die über einer Fläche des Substrats angeordnet ist. Die Kohlenstoff-Nanoröhre ist teilweise innerhalb einer elektrisch isolierenden Schutzschicht angeordnet. Die Struktur weist ferner einen Gate-Stapel auf, der über dem Substrat angeordnet ist, wobei ein erster Abschnitt einer Länge der Kohlenstoff-Nanoröhre, der nicht von der elektrisch isolierenden Schutzschicht bedeckt ist, durch den Gate-Stapel führt und wobei der erste Abschnitt der Länge einen Kanal definiert. Die Struktur weist ferner einen Source-Kontakt auf, der in Nachbarschaft zu einer ersten Seite des Gate-Stapels angeordnet ist, wobei ein zweiter Abschnitt der Länge der Kohlenstoff-Nanoröhre, der nicht von der elektrisch isolierenden Schutzschicht bedeckt ist, elektrisch leitfähig mit dem Source-Kontakt verbunden ist. Die Struktur weist ferner einen Drain-Kontakt auf, der in Nachbarschaft zu einer zweiten, gegenüber liegenden Seite des Gate-Stapels angeordnet ist, wobei ein dritter Abschnitt der Länge der Kohlenstoff-Nanoröhre, der nicht von der elektrisch isolierenden Schutzschicht bedeckt ist, elektrisch leitfähig mit dem Drain-Kontakt verbunden ist. In der Struktur sind der Gate-Stapel, der Source-Kontakt und der Drain-Kontakt innerhalb der elektrisch isolierenden Schutzschicht und innerhalb einer elektrisch isolierenden organischen Planarisierungsschicht enthalten, welche über der elektrisch isolierenden Schutzschicht angeordnet ist.
  • Gemäß einer zweiten Erscheinungsform stellen die beispielhaften Ausführungsformen der vorliegenden Erfindung ein Verfahren zum Bilden eines Feldeffekttransistors bereit. Das Verfahren beinhaltet das Bereitstellen eines Substrats, welches eine Kohlenstoff-Nanoröhre aufweist, die über einer Fläche des Substrats angeordnet ist; das Bilden einer elektrisch isolierenden Schutzschicht über der Kohlenstoff-Nanoröhre und das Bilden eines ersten mehrschichtigen Resist-Stapels über der elektrisch isolierenden Schutzschicht. Der erste mehrschichtige Resist-Stapel weist eine untere Resist-Schicht, eine mittlere Resist-Schicht und eine obere Resist-Schicht auf. Das Verfahren beinhaltet ferner das Strukturieren und das selektive Entfernen eines Abschnitts des ersten mehrschichtigen Resist-Stapels, um eine Öffnung für einen Gate-Stapel zu definieren, wobei durch das selektive Entfernen auch vollständig die mittlere Resist-Schicht und die obere Resist-Schicht entfernt werden und die untere Schicht behalten wird. Das Verfahren beinhaltet ferner das selektive Entfernen eines Abschnitts der elektrisch isolierenden Schutzschicht innerhalb der Öffnung, um einen ersten Abschnitt der Kohlenstoff-Nanoröhre frei zu legen; das Bilden des Gate-Stapels innerhalb der Öffnung und auf dem frei liegenden ersten Abschnitt der Kohlenstoff-Nanoröhre; das Bilden eines zweiten mehrschichtigen Resist-Stapels auf der unteren Schicht und auf dem Gate-Stapel; das Strukturieren und das selektive Entfernen eines Abschnitts des zweiten mehrschichtigen Resist-Stapels, um eine Öffnung für einen Source-Kontakt und eine Öffnung für einen Drain-Kontakt zu definieren; das selektive Entfernen eines Abschnitts der elektrisch isolierenden Schutzschicht innerhalb der Source-Kontakt-Öffnung und innerhalb der Drain-Kontakt-Öffnung, um einen zweiten Abschnitt der Kohlenstoff-Nanoröhre und einen dritten Abschnitt der Kohlenstoff-Nanoröhre frei zu legen; und das Aufbringen von Kontaktmaterial innerhalb der Source-Kontakt-Öffnung und innerhalb der Drain-Kontakt-Öffnung und auf dem frei liegenden zweiten und dritten Abschnitt der Kohlenstoff-Nanoröhre.
  • KURZE BESCHREIBUNG DER VERSCHIEDENEN ANSICHTEN DER ZEICHNUNGEN
  • 1A bis 1M, zusammen als 1 bezeichnet, veranschaulichen einen Verfahrensablauf, der zum Herstellen eines Transistors gemäß Ausführungsformen der vorliegenden Erfindung geeignet ist, wobei
  • 1A eine Ausgangsstruktur darstellt, die aus einem Substrat, einer isolierenden Schicht und einer CNT zusammengesetzt ist, die auf der isolierenden Schicht angeordnet ist;
  • 1B die Ausgangsstruktur der 1A nach dem Abscheiden einer Schutzschicht über der CNT, gefolgt vom Abscheiden eines dreischichtigen Resist-Stapels, darstellt;
  • 1C die Struktur der 1B nach dem Strukturieren der Resist-Schicht zum Bilden einer Öffnung, wo ein Gate-Stapel gebildet werden wird, darstellt;
  • 1D die Struktur der 1C nach dem Strukturieren der OPL darstellt;
  • 1E die Struktur der 1D darstellt, nachdem ein Abschnitt der Schutzschicht innerhalb der Öffnung entfernt worden ist, wodurch ein Abschnitt der CNT frei gelegt wird, welcher den Kanal des hergestellten Transistors bilden wird;
  • 1E' eine alternative Ausführungsform der Struktur der 1D darstellt, nachdem der Abschnitt der Schutzschicht innerhalb der Öffnung entfernt worden ist, wodurch der Abschnitt der CNT frei gelegt wird, welcher den Kanal des hergestellten Transistors bilden wird, sowie nach dem selektiven Entfernen der darunter angeordneten isolierenden Schicht, um den frei liegenden Abschnitt der CNT frei hängen zu lassen;
  • 1F die Struktur der 1E nach dem Bilden eines Gate-Stapels darstellt;
  • 1G die Struktur der 1F darstellt, nachdem überschüssiges Gate-Metall und Gate-Dielektrikums-Materialien entfernt worden sind;
  • 1H die Struktur der 1G nach dem Wiederaufbringen von Strukturierungsschichten, welche eine Schicht eines LTO- oder SiARC-Materials aufweisen, und einer Resist-Schicht darstellt;
  • 1I die Struktur der 1H darstellt, nachdem in der Resist-Schicht eine Kontaktmetallstruktur als eine Form frei gelegt worden ist, welche den Gate-Stapel überspannt;
  • 1J die Struktur der 1L darstellt, nachdem das in 1H aufgebrachte LTO- oder SiARC-Material entfernt worden ist;
  • 1K die Struktur der 1J darstellt, nachdem die Kontaktstruktur in die OPL überführt worden ist;
  • 1L die Struktur der 1K darstellt, nachdem die Schutzschicht innerhalb des Kontaktabschnitts entfernt worden ist, wodurch die CNT wieder frei gelegt worden ist;
  • 1M die Struktur der 1L darstellt, nachdem das Source(S)- und Drain(D)-Kontaktmaterial aufgebracht worden ist und die Struktur planarisiert worden ist.
  • 2 ist eine Schnittdarstellung durch die Struktur bei Beendigung der Verarbeitung der 1M entlang einer Ebene, die in 1M als ,2' gekennzeichnet ist, wobei die Schnittdarstellung durch den Gate-Stapel hindurch verläuft.
  • DETAILLIERTE BESCHREIBUNG
  • Die beispielhaften und nicht beschränkenden Ausführungsformen der vorliegenden Erfindung werden unter Bezugnahme auf den Verfahrensablauf beschrieben, der in 1A bis 1M dargestellt ist, die zusammen als 1 bezeichnet werden. Im Allgemeinen stellt 1 eine vergrößerte Querschnittsansicht eines Substrats 10 dar, über dessen Hauptfläche verschiedene Schichten angeordnet sind. Die verschiedenen Schichtdicken sind nicht maßstabsgetreu.
  • 1A zeigt eine Ausgangsstruktur, die aus dem Substrat 10, einer isolierenden Schicht 12 und einer CNT 14 zusammengesetzt ist, die auf der isolierenden Schicht angeordnet ist. Bei der Ausgangsstruktur wird davon ausgegangen, dass das Anwachsen und das Anordnen der CNT durch eine beliebige auf dem Fachgebiet bekannte Technik erfolgt ist und dass das Substrat 10 mit ausgerichteten und angeordneten Nanostrukturen (z. B. CNTs) verfügbar ist. In der Praxis kann eine große Anzahl an CNTs 14 vorliegen. Die folgende Beschreibung konzentriert sich auf ein Verfahren zur Herstellung einer Transistoreinheit, wobei ein Abschnitt der Länge der CNT 14 als Kanal fungiert, welcher durch einen Gate-Stapel führt. Es versteht sich, dass in der Praxis eine Anzahl an Transistoreinheiten in Reihenform entlang der Länge einer CNT 14 angeordnet sein kann. Es versteht sich, dass in der Praxis eine einzige Transistoreinheit mehrere CNTs 14 innerhalb des Kanals des Transistors enthalten kann.
  • Durch den unten beschriebenen Verfahrensablauf werden vorteilhafter Weise Source/Drain(S/D)-Kontakte bereitgestellt, die zu dem Gate-Kontakt selbstausgerichtet sind, und der Verfahrensablauf ist in jeder Hinsicht mit der Anforderung kompatibel, ein Layout hoher Dichte bereitzustellen.
  • In 1A kann es sich bei dem Substrat 10 um ein beliebiges geeignetes Substrat handeln, z. B. ein Halbleitersubstrat, ein Glassubstrat oder ein Substrat auf Polymerbasis, welches mit der Chemie und den Temperaturen kompatibel ist, die während des Verfahrensablaufs angewendet werden. In der fertigen Struktur ist das Substrat 10 nicht elektrisch aktiv. Bei der isolierenden Schicht 12 kann es sich um ein beliebiges elektrisch isolierendes Material wie z. B. SiO2 handeln. Die CNT 14 kann zum Beispiel, ohne darauf beschränkt zu sein, einen Durchmesser im Bereich von etwa 0,5 nm bis etwa 5 nm oder mehr aufweisen, wobei ein typischer und geeigneter Durchmesser etwa 2 nm beträgt. Die Länge der CNT 14 kann einen beliebigen geeigneten Wert aufweisen. Im Allgemeinen kann eine CNT dadurch gekennzeichnet werden, dass sie eine Länge aufweist, welche ihre Breite oder ihren Durchmesser deutlich übersteigt.
  • 1B zeigt die Ausgangsstruktur der 1A nach dem Abscheiden einer nicht beschädigenden Schutzschicht 16 über der CNT 14, gefolgt vom Abscheiden eines dreischichtigen Resist-Stapels, der zum Beispiel aus einer organischen Planarisierungsschicht (Organic Planarization Layer, OPL) 18, einer Oxidschicht 20 und einer Resist-Schicht 22 zusammengesetzt ist. Die nicht beschädigende Schutzschicht 16 kann durch ein Spin-on-Glass(SOG)-Verfahren oder zum Beispiel durch die Atomschichtabscheidung (Atomic Layer Deposition, ALD) von SiO2 gebildet werden. In der Praxis kann die Dicke der nicht beschädigenden Schutzschicht 16 so eingestellt werden, dass sie die CNT 14 vollständig einbettet, mit einer ausreichenden Überdicke, um die CNT 14 während der folgenden Verarbeitungsschritte zu schützen. Bei der OPL 18 kann es sich zum Beispiel um ein Harz handeln, welches durch Aufschleudern aufgebracht und wärmebehandelt wird, um die strukturelle Integrität zu verbessern, oder um ein flüssiges Monomer, welches durch Aufschleudern aufgebracht und nach einer angemessenen Nivellierungszeit photochemisch gehärtet wird. In der Praxis der vorliegenden Erfindung kann jede beliebige geeignete OPL verwendet werden, die mit den folgenden Verarbeitungsschritten kompatibel ist. Im Allgemeinen handelt es sich bei der OPL 18 um eine, die vorzugsweise mit einer Verarbeitung bei 400°C kompatibel ist, und die OPL 18 kann eine Dicke in einem Bereich von etwa 75 nm bis etwa 400 nm oder mehr aufweisen, wobei 135 nm ein geeigneter Wert ist. Die Oxidschicht 20 kann eine Dicke in einem Bereich von etwa 20 nm bis etwa 35 nm aufweisen und kann durch ein Niedertemperatur-Oxidationsverfahren (Low Temperature Oxidation, LTO) gebildet werden. Die Schicht 20 kann auch als siliciumhaltige Antireflexbeschichtung (Silicon-containing Antireflection Coating, SiARC) gebildet werden. Die Resist-Schicht 22 kann in Abhängigkeit von den Besonderheiten des Photolithographieverfahrens, das während der folgenden Gate-Definierung angewendet wird, eine Dicke in einem Bereich von etwa 60 nm bis zu einigen Hundert Nanometern aufweisen. Im Allgemeinen ist die Dicke der Resist-Schicht 22 geringer als die Dicke der OPL 18.
  • 1C zeigt die Struktur der 1B nach dem Strukturieren der Resist-Schicht 22 zum Beispiel unter Anwendung der Elektronenstrahllithographie oder der optischen Lithographie, um eine Öffnung 23 zu bilden, wo der Gate-Stapel gebildet werden wird. Nachdem in der Resist-Schicht 22 die Öffnung 23 gebildet worden ist, wird die darunter angeordnete LTO- oder SiARC-Schicht strukturiert, wobei ein Verfahren des reaktiven Ionenätzens (Reactive Ion Etching, RIE) angewendet wird, welches bezüglich der OPL 18 selektiv ist (d. h. dort endet). Zum Beispiel kann eine CF4/CHF3-RIE-Chemie angewendet werden. Die resultierende Öffnung 23 durch die Resist-Schicht 22 und die OPL oder SiARC-Schicht 20 kann eine Breite in einem Bereich von beispielsweise etwa 5 nm bis etwa 50 nm oder vorzugsweise etwa 5 nm bis etwa 20 nm aufweisen. Die Breite der Öffnung 23 definiert die Kanallänge des Transistors, der hergestellt wird.
  • 1D zeigt die Struktur der 1C nach dem Strukturieren der OPL 18 unter Anwendung des RIE, wobei die Resist-Schicht 22 während des Strukturierungsverfahrens entfernt wird. Das RIE-Verfahren ist bezüglich der SiO2-Schicht 16 selektiv (endet dort), in welche die CNT 14 eingebettet ist. Es kann zum Beispiel eine CO2/O2-RIE-Chemie angewendet werden.
  • 1E zeigt die Struktur der 1D, nachdem ein Abschnitt der SiO2-Schutzschicht 16 innerhalb der Öffnung 23 entfernt worden ist, wobei mit verdünnter Fluorwasserstoffsäure(HF)-Lösung geätzt wurde, um die Isotropie auf ein Mindestmaß zu beschränken. Das Ergebnis der nasschemischen Ätzbehandlung ist, dass der darunter angeordnete Abschnitt der CNT 14 frei gelegt wird. Man beachte, dass die Oxidschicht 20 während des nasschemischen Ätzverfahrens ebenfalls entfernt wird. Das nasschemische Ätzverfahren wird bevorzugt, weil bei Anwendung eines Trockenätzverfahrens die Möglichkeit bestünde, dass die CNT 14 beschädigt oder verschlechtert wird.
  • Es sei angemerkt, dass, um eine erhöhte Gate-Kontrolle und eine bessere Elektrostatik zu erreichen, eine Struktur mit umlaufender Gate-Zone wünschenswert sein kann. Um eine Struktur mit umlaufender Gate-Zone zu erhalten, kann der Isolator 12 so gewählt werden, dass er während des Nassätzverfahrens auch geätzt wird, oder es kann ein separates Ätzverfahren angewendet werden, um Abschnitte des Isolators 12 zu entfernen, nachdem der Isolator 16 entfernt ist. Das Ergebnis ist, dass der frei liegende Abschnitt der CNT 14 unterschnitten ist und frei über der Fläche des Substrats 10 hängt. Hierzu kann auf 1E' verwiesen werden, welche einen unterschnittenen Bereich 23A unterhalb der frei hängenden CNT 14 zeigt.
  • 1F zeigt die Struktur der 1E nach Abscheidung des Gate-Stapels. Vorzugsweise wird für den Schritt der Gate-Metallisierung eine Technik der ALD oder der chemischen Abscheidung aus der Gasphase (Chemical Vapor Deposition, CVD) angewendet. Wenn es sich bei dem CVD-Verfahren um ein Verfahren der plasmaunterstützten CVD (Plasma Enhanced CVD, PECVD) handelt, ist für den Anfangsabschnitt (Gate-Dielektrikum) der Abscheidung des Gate-Stapels vorzugsweise kein O2 vorhanden, während, wenn O2 vorhanden ist, ein Verfahren auf Plasmabasis vermieden wird, da durch die Gegenwart von Sauerstoffradikalen die CNT 14 verschlechtert oder zerstört werden kann, bevor sie durch eine andere Schicht passiviert wird.
  • Das Verfahren der Gate-Stapel-Metallisierung erfolgt, indem zuerst überdeckend eine Schicht eines Gate-Dielektrikums 24 abgeschieden wird, gefolgt von einer überdeckenden Abscheidung eines gewünschten Gate-Metalls (oder gewünschter Gate-Metalle) 26. Bei dem Gate-Dielektrikum 24 kann es sich um ein beliebiges geeignetes dielektrisches Material handeln, welches durch die folgenden Verarbeitungsschritte nicht beeinträchtigt wird. Ein geeignetes Material ist ein Material mit hoher Dielektrizitätskonstante k, welches ein dielektrisches Metalloxid einer Dielektrizitätskonstante aufweist, die höher ist als die Dielektrizitätskonstante von Siliciumnitrid, 7,5. Die dielektrische Schicht 24 mit hoher Dielektrizitätskonstante k kann durch Verfahren gebildet werden, die auf dem Fachgebiet bekannt sind, zum Beispiel CVD und ALD. Das dielektrische Metalloxid weist ein Metall und Sauerstoff und gegebenenfalls Stickstoff und/oder Silicium auf. Beispielhafte dielektrische Materialien mit hoher Dielektrizitätskonstante k sind HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, ein Silicat dieser und eine Legierung aus diesen. Jeder Wert x beträgt unabhängig 0,5 bis 3, und jeder Wert y beträgt unabhängig 0 bis 2. Die Dicke der dielektrischen Schicht 24 mit hoher Dielektrizitätskonstante k kann etwa 1 nm bis etwa 10 nm betragen, vorzugsweise etwa 1,5 nm bis etwa 3 nm. Die Gate-Metall-Schicht 26 wird direkt auf der oberen Fläche der dielektrischen Schicht 24 mit hoher Dielektrizitätskonstante k abgeschieden und kann zum Beispiel durch chemische Abscheidung aus der Gasphase (CVD), physikalische Abscheidung aus der Gasphase (PVD) oder Atomschichtabscheidung (ALD) gebildet werden. Das Material der Gate-Metall-Schicht 26 kann zum Beispiel ein leitfähiges Übergangsmetallnitrid oder ein leitfähiges Übergangsmetallcarbid sein. Geeignete Materialien sind, ohne darauf beschränkt zu sein, TiN, TiC, TaN, TaC und eine Kombination dieser. Die Gate-Metall-Schicht könnte beispielsweise auch, ohne darauf beschränkt zu sein, aus einem oder mehreren aus Au, Al, Pd und einem Ni-Silicid zusammengesetzt sein.
  • Nach Beendigung der Verarbeitungsschritte, die in 1F dargestellt sind, ist der zuvor frei liegende Abschnitt der CNT 14 (in 1E) mit dem ausgewählten Gate-Dielektrikum 24 bedeckt, welches wiederum mit dem ausgewählten Gate-Metall 26 bedeckt ist. Dieser Abschnitt der CNT 14 fungiert als der Kanal des in der Folge gebildeten Feldeffekttransistors (FET).
  • Für die Ausführungsform der 1E' ist der vollständige Umfang der CNT 14 mit dem ausgewählten Gate-Dielektrikum 24 beschichtet und ist von dem ausgewählten Gate-Metall 26 umgeben, wodurch der Typ der Transistorstruktur mit umlaufender Gate-Zone bereitgestellt wird.
  • 1G zeigt die Struktur der 1F, nachdem ein RIE-Verfahren oder ein Verfahren des chemisch-mechanischen Polierens (CMP), welches an der OPL 18 endet, angewendet worden ist, um das Metall und das Dielektrikum in situ zu entfernen. Durch den Verfahrensschritt wird die bislang gebildete Struktur im Wesentlichen planarisiert.
  • 1H zeigt die Struktur der 1G nach dem Wiederaufbringen von LTO- oder SiARC-Material zum Bilden der Schicht 28 und dem Abscheiden einer zweiten Resist-Schicht 30.
  • 1I zeigt die Struktur der 1H, nachdem eine Kontaktmetallstruktur als eine Form frei gelegt worden ist, welche sich über den Gate-Abschnitt spannt. Durch die selektive Entfernung der Resist-Schicht 30 wird eine Öffnung 25 gebildet. Die Breite der Öffnung 25 ist eine Funktion des Gate-Abstands (Abstand zwischen Gate-Zonen benachbarter Einheiten). Wenn eine einzelne Einheit gebildet wird, kann die Breite zum Beispiel etwa 50 nm betragen.
  • 1J zeigt die Struktur der 1I, nachdem das LTO- oder SiARC-Material der Schicht 28 entfernt worden ist, wobei ein RIE-Verfahren angewendet wurde, welches bezüglich der Gate-Materialien und der OPL 18 selektiv ist. Beispielhafte und nicht beschränkende RIE-Verfahren zur Durchführung dieses Verfahrensschritts weisen die Verwendung eines fluorierten Gasplasmas auf, z. B. eines, bei welchem eine Chemie auf CHF3- und CF4-Basis angewendet wird. An diesem Punkt ist die Öffnung 25 bis zu der oberen Fläche der OPL 18 ausgedehnt worden.
  • 1K zeigt die Struktur der 1J, nachdem die Kontaktstruktur in die OPL 18 übertragen worden ist, wobei ein RIE-Verfahren angewendet wurde, welches bezüglich des Gate-Stapels selektiv ist. Beispielhafte Möglichkeiten für eine RIE-Chemie, die für eine Anwendung während dieses Verfahrensschritts geeignet sind, sind Plasmas auf O2-, H2- und NH3-Basis.
  • 1L zeigt die Struktur der 1K, nachdem innerhalb der Öffnung 25, welche die Kontaktstruktur definiert, die SiO2-Schutzschicht 16 entfernt worden ist, wobei eine verdünnte HF-Lösung verwendet wurde. Die Materialien der dielektrischen Schicht 24 mit hoher Dielektrizitätskonstante k, der Metall-Gate-Elektrode 26 und der OPL 18 werden durch das HF-Ätzverfahren nicht beeinträchtigt. Für einen besseren Kontakt zu der CNT 14 kann ein Rundumkontakt wünschenswert sein. Um einen Rundumkontakt zu erhalten, kann der Isolator 12 so gewählt werden, dass er während des Nassätzverfahrens auch geätzt wird, oder es kann ein separates Nassätzverfahren angewendet werden, um Abschnitte des Isolators 12 zu entfernen, nachdem der Isolator 16 entfernt ist. Man beachte, dass der Rundumkontakt in Verbindung mit dem in 1E' dargestellten Rundum-Gate-Verarbeitungsschritt verwendet werden kann oder ohne den in 1E' dargestellten Rundum-Gate-Verarbeitungsschritt verwendet werden kann.
  • 1M zeigt die Struktur der 1L, nachdem das gewünschte Source(S)- und Drain(D)-Kontaktmaterial 32 in Nachbarschaft zu dem Gate(G)-Stapel abgeschieden worden ist, wobei zum Beispiel ALD- oder CVD-Verfahren angewendet wurden, und anschließend zurückgeätzt worden sind, wobei ein bezüglich der OPL 18 selektives RIE-Verfahren angewendet wurde. Das Kontaktmetall 32 kann durch ein thermisches Verdampfungsverfahren oder durch ein Plattierungsverfahren aufgebracht werden, wenn es sich bei dem Kontaktmetall zum Beispiel um Cu handelt. Welches Kontaktmetall verwendet wird, kann davon abhängen, ob ein nFET oder ein pFET gebildet wird. Für einen nFET kann ein Metallsystem mit niedrigerer Austrittsarbeit (Work Function, WF), z. B. Ag oder Al, verwendet werden, während für einen pFET ein Metallsystem mit höherer WF, z. B. Au oder Pd, verwendet werden kann. Jene Abschnitte der CNT 14, welche während des in 1L dargestellten Verarbeitungsschritts frei gelegt werden, werden mit dem aufgebrachten Kontaktmetall 32 überzogen und elektrisch leitfähig mit diesem verbunden.
  • 2 ist eine vergrößerte Schnittdarstellung, ebenfalls nicht maßstabsgetreu, durch die Struktur bei Beendigung der Verarbeitung der 1M (entlang einer Ebene, die in 1M als ,2' gekennzeichnet ist). Die Schnittdarstellung verläuft durch den Gate-Stapel hindurch und zeigt die CNT 14, die von der Isolatorschicht 12 getragen wird und mit dem Gate-Isolator 24 (z. B. dem Gate-Isolator-Material hoher Dielektrizitätskonstante k) unterhalb des Gate-Metalls 26 bedeckt ist. Der Gate-Stapel und das Kontaktmetall sind in die umgebende SiO2-Schutzschicht 16 und die OPL 18 eingebettet.
  • Die hierin verwendete Terminologie dient nur der Beschreibung spezieller Ausführungsformen und soll die Erfindung nicht beschränken. Wie hierin verwendet, sollen die Singularformen „ein”, „eine” und „der”, „die”, „das” ebenso die Pluralformen beinhalten, sofern dies nicht durch den Kontext eindeutig anders angezeigt ist. Es versteht sich ferner, dass mit den Begriffen „aufweist” und/oder „aufweisen”, wenn sie in dieser Beschreibung verwendet werden, das Vorliegen angegebener Merkmale, ganzer Zahlen, Schritte, Operationen, Elemente und/oder Komponenten beschrieben ist, jedoch nicht das Vorliegen oder das Hinzufügen ein oder mehrerer anderer Merkmale, ganzer Zahlen, Schritte, Operationen, Elemente, Komponenten und/oder Gruppen derselben ausgeschlossen wird.
  • Die entsprechenden Strukturen, Materialien, Abmessungen von Elementen, Schichtdicken, Schichtmaterialien, Ätzmittel und Ätzverfahren und Äquivalente aller Mittel oder Schritte plus Funktionselemente in den folgenden Patentansprüchen sollen jede Struktur, jedes Material oder jede Handlung zur Durchführung der Funktion in Kombination mit anderen beanspruchten Elementen als speziell beansprucht beinhalten. Die Beschreibung der vorliegenden Erfindung dient den Zwecken der Veranschaulichung und Beschreibung, soll aber nicht erschöpfend oder auf die Erfindung in der offenbarten Form beschränkt sein. Dem Fachmann werden viele Modifikationen und Variationen ersichtlich sein, die vorgenommen werden können, ohne vom Umfang der Erfindung abzuweichen. Die Ausführungsformen wurden ausgewählt und beschrieben, um die Prinzipien der Erfindung und die praktische Anwendung bestmöglich zu erläutern und es anderen Fachleuten zu ermöglichen, die Erfindung für verschiedene Ausführungsformen mit verschiedenen Modifikationen zu verstehen, welche für die spezielle beabsichtigte Verwendung geeignet sind.
  • Daher können dem Fachmann in Anbetracht der vorstehenden Beschreibung, gelesen in Verbindung mit den begleitenden Zeichnungen und den anhängenden Patentansprüchen, verschiedene Modifikationen und Anpassungen ersichtlich werden. Um nur einige Beispiel zu nennen, kann der Fachmann ähnliche oder äquivalente mathematische Ausdrücke verwenden. All solche und ähnliche Modifikationen der Lehren der vorliegenden Erfindung fallen jedoch immer noch unter den Schutzbereich der vorliegenden Erfindung.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 2011/0127492 A1 [0004]
    • US 2011/0127493 A1 [0004]

Claims (17)

  1. Struktur, aufweisend: ein Substrat, welches eine Kohlenstoff-Nanoröhre aufweist, die über einer Fläche des Substrats angeordnet ist, wobei die Kohlenstoff-Nanoröhre teilweise innerhalb einer elektrisch isolierenden Schutzschicht angeordnet ist; einen Gate-Stapel, welcher über dem Substrat angeordnet ist, wobei ein erster Abschnitt einer Länge der Kohlenstoff-Nanoröhre, der nicht von der elektrisch isolierenden Schutzschicht bedeckt ist, durch den Gate-Stapel führt und wobei der erste Abschnitt der Länge einen Kanal definiert; einen Source-Kontakt, welcher in Nachbarschaft zu einer ersten Seite des Gate-Stapels angeordnet ist, wobei ein zweiter Abschnitt der Länge der Kohlenstoff-Nanoröhre, der nicht von der elektrisch isolierenden Schutzschicht bedeckt ist, elektrisch leitfähig mit dem Source-Kontakt verbunden ist; und einen Drain-Kontakt, der in Nachbarschaft zu einer zweiten, gegenüber liegenden Seite des Gate-Stapels angeordnet ist, wobei ein dritter Abschnitt der Länge der Kohlenstoff-Nanoröhre, der nicht von der elektrisch isolierenden Schutzschicht bedeckt ist, elektrisch leitfähig mit dem Drain-Kontakt verbunden ist, wobei der Gate-Stapel, der Source-Kontakt und der Drain-Kontakt innerhalb der elektrisch isolierenden Schutzschicht und innerhalb einer elektrisch isolierenden organischen Planarisierungsschicht enthalten sind, welche über der elektrisch isolierenden Schutzschicht angeordnet ist.
  2. Struktur nach Anspruch 1, wobei der Gate-Stapel aus einer Schicht eines Gate-Isolators, der auf dem ersten Abschnitt der Länge der Kohlenstoff-Nanoröhre angeordnet ist, und einer Schicht eines Gate-Metalls besteht, das auf der Schicht des Gate-Isolators angeordnet ist.
  3. Struktur nach Anspruch 2, wobei die Schicht des Gate-Isolators aus einem Material mit hoher Dielektrizitätskonstante besteht.
  4. Struktur nach Anspruch 1, wobei entlang der Länge der Kohlenstoff-Nanoröhre mehrere Gate-Stapel und zugehörige Source- und Drain-Kontakte angeordnet sind.
  5. Struktur nach Anspruch 1, wobei es mehrere Kohlenstoff-Nanoröhren gibt, deren jeweils erster Abschnitt ihrer entsprechenden Längen durch den Gate-Stapel führt und den Kanal definiert.
  6. Struktur nach Anspruch 1, welche ferner eine Isolatorschicht aufweist, die zwischen der Kohlenstoff-Nanoröhre und der Fläche des Substrats angeordnet ist.
  7. Struktur nach Anspruch 1, wobei der Source-Kontakt und der Drain-Kontakt jeweils aus einem Metall besteht, welches eine Austrittsarbeit aufweist, die dafür ausgewählt ist, einen aus einem nFET oder einem pFET zu bilden.
  8. Verfahren zum Bilden eines Feldeffekttransistors, aufweisend: Bereitstellen eines Substrats, welches eine Kohlenstoff-Nanoröhre aufweist, die über einer Fläche des Substrats angeordnet ist; Bilden einer elektrisch isolierenden Schutzschicht über der Kohlenstoff-Nanoröhre; Bilden eines ersten mehrschichtigen Resist-Stapels über der elektrisch isolierenden Schutzschicht, wobei der erste mehrschichtige Resist-Stapel eine untere Resist-Schicht, eine mittlere Resist-Schicht und eine obere Resist-Schicht aufweist; Strukturieren und selektives Entfernen eines Abschnitts des ersten mehrschichtigen Resist-Stapels, um eine Öffnung für einen Gate-Stapel zu definieren, wobei durch das selektive Entfernen auch vollständig die mittlere Resist-Schicht und die obere Resist-Schicht entfernt werden und die untere Schicht behalten wird; selektives Entfernen eines Abschnitts der elektrisch isolierenden Schutzschicht innerhalb der Öffnung, um einen ersten Abschnitt der Kohlenstoff-Nanoröhre frei zu legen; Bilden des Gate-Stapels innerhalb der Öffnung und auf dem frei liegenden ersten Abschnitt der Kohlenstoff-Nanoröhre; Bilden eines zweiten mehrschichtigen Resist-Stapels auf der unteren Schicht und auf dem Gate-Stapel; Strukturieren und selektives Entfernen eines Abschnitts des zweiten mehrschichtigen Resist-Stapels, um eine Öffnung für einen Source-Kontakt und eine Öffnung für einen Drain-Kontakt zu definieren; selektives Entfernen eines Abschnitts der elektrisch isolierenden Schutzschicht innerhalb der Source-Kontakt-Öffnung und innerhalb der Drain-Kontakt-Öffnung, um einen zweiten Abschnitt der Kohlenstoff-Nanoröhre und einen dritten Abschnitt der Kohlenstoff-Nanoröhre frei zu legen; und Aufbringen von Kontaktmaterial innerhalb der Source-Kontakt-Öffnung und innerhalb der Drain-Kontakt-Öffnung und auf dem frei liegenden zweiten und dritten Abschnitt der Kohlenstoff-Nanoröhre.
  9. Verfahren nach Anspruch 8, wobei das Bilden der elektrisch isolierenden Schutzschicht über der Kohlenstoff-Nanoröhre eines aus der Anwendung eines Spin-on-Glass-Verfahrens und der Atomschichtabscheidung von SiO2 beinhaltet.
  10. Verfahren nach Anspruch 8, wobei beim Bilden des ersten mehrschichtigen Resist-Stapels die untere Schicht als organische Planarisierungsschicht gebildet wird, und die mittlere Schicht als Niedertemperatur-Oxidschicht oder siliciumhaltige Antireflexbeschichtung gebildet wird.
  11. Verfahren nach Anspruch 8, wobei das selektive Entfernen eines Abschnitts des ersten mehrschichtigen Resist-Stapels das Durchführen eines ersten Verfahrens des reaktiven Ionenätzens, um anfänglich die Öffnung durch die Resist-Schicht und die mittlere Schicht hindurch zu bilden, und das Durchführen eines zweiten Verfahrens des reaktiven Ionenätzens beinhaltet, um das Bilden der Öffnung durch die untere Schicht hindurch zu vollenden, um die elektrisch isolierende Schutzschicht innerhalb der Öffnung frei zu legen.
  12. Verfahren nach Anspruch 8, wobei beim selektiven Entfernen des Abschnitts der elektrisch isolierenden Schutzschicht innerhalb der Öffnung, um den ersten Abschnitt der Kohlenstoff-Nanoröhre frei zu legen, ein nasschemisches Ätzverfahren angewendet wird.
  13. Verfahren nach Anspruch 12, wobei die elektrisch isolierende Schutzschicht aus SiO2 besteht und wobei bei dem nasschemischen Ätzverfahren HF verwendet wird.
  14. Verfahren nach Anspruch 8, wobei beim selektiven Entfernen des Abschnitts des zweiten mehrschichtigen Resist-Stapels ein Verfahren des reaktiven Ionenätzens angewendet wird, welches bezüglich des Gate-Stapels und der unteren Schicht selektiv ist.
  15. Verfahren nach Anspruch 14, wobei die untere Schicht als eine organische Planarisierungsschicht gebildet wird und wobei beim Verfahren des reaktiven Ionenätzens ein fluoriertes Gasplasma verwendet wird.
  16. Verfahren nach Anspruch 8, wobei beim selektiven Entfernen des Abschnitts der elektrisch isolierenden Schutzschicht innerhalb der Öffnung, um den ersten Abschnitt der Kohlenstoff-Nanoröhre frei zu legen, der gesamte Umfang der Kohlenstoff-Nanoröhre frei gelegt wird, so das der frei liegende Abschnitt der Kohlenstoff-Nanoröhre frei über der Fläche des Substrats hängt.
  17. Verfahren nach Anspruch 8, wobei beim selektiven Entfernen des Abschnitts der elektrisch isolierenden Schutzschicht innerhalb der Source-Kontakt-Öffnung und innerhalb der Drain-Kontakt-Öffnung, um den zweiten Abschnitt der Kohlenstoff-Nanoröhre und den dritten Abschnitt der Kohlenstoff-Nanoröhre frei zu legen, der gesamte Umfang des zweiten Abschnitts und des dritten Abschnitts der Kohlenstoff-Nanoröhre frei gelegt wird, so das der frei liegende zweite und dritte Abschnitt der Kohlenstoff-Nanoröhre frei über der Fläche des Substrats hängen.
DE102012217482.6A 2011-10-11 2012-09-26 Strukturieren von Kontakten in Kohlenstoff-Nanoröhren-Einheiten Active DE102012217482B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/270,648 US8803129B2 (en) 2011-10-11 2011-10-11 Patterning contacts in carbon nanotube devices
US13/270,648 2011-10-11

Publications (2)

Publication Number Publication Date
DE102012217482A1 true DE102012217482A1 (de) 2013-04-11
DE102012217482B4 DE102012217482B4 (de) 2019-07-04

Family

ID=47294495

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102012217482.6A Active DE102012217482B4 (de) 2011-10-11 2012-09-26 Strukturieren von Kontakten in Kohlenstoff-Nanoröhren-Einheiten

Country Status (4)

Country Link
US (2) US8803129B2 (de)
CN (1) CN103107199B (de)
DE (1) DE102012217482B4 (de)
GB (1) GB2495826B (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
US9299939B1 (en) * 2014-12-09 2016-03-29 International Business Machines Corporation Formation of CMOS device using carbon nanotubes
US9618474B2 (en) 2014-12-18 2017-04-11 Edico Genome, Inc. Graphene FET devices, systems, and methods of using the same for sequencing nucleic acids
US9859394B2 (en) 2014-12-18 2018-01-02 Agilome, Inc. Graphene FET devices, systems, and methods of using the same for sequencing nucleic acids
US10020300B2 (en) 2014-12-18 2018-07-10 Agilome, Inc. Graphene FET devices, systems, and methods of using the same for sequencing nucleic acids
US10006910B2 (en) 2014-12-18 2018-06-26 Agilome, Inc. Chemically-sensitive field effect transistors, systems, and methods for manufacturing and using the same
US9857328B2 (en) 2014-12-18 2018-01-02 Agilome, Inc. Chemically-sensitive field effect transistors, systems and methods for manufacturing and using the same
EP3235010A4 (de) 2014-12-18 2018-08-29 Agilome, Inc. Chemisch empfindlicher feldeffekttransistor
CN106206946A (zh) * 2015-05-05 2016-12-07 Tcl集团股份有限公司 一种有机场效应晶体管、其制备方法及应用
US9577204B1 (en) * 2015-10-30 2017-02-21 International Business Machines Corporation Carbon nanotube field-effect transistor with sidewall-protected metal contacts
EP3459115A4 (de) 2016-05-16 2020-04-08 Agilome, Inc. Graphen-fet-vorrichtungen, systeme und verfahren zur verwendung davon zur sequenzierung von nukleinsäuren
US10170702B2 (en) 2017-01-12 2019-01-01 International Business Machines Corporation Intermetallic contact for carbon nanotube FETs
US10049876B1 (en) 2017-02-13 2018-08-14 International Business Machines Corporation Removal of trilayer resist without damage to underlying structure
US10141528B1 (en) 2017-05-23 2018-11-27 International Business Machines Corporation Enhancing drive current and increasing device yield in n-type carbon nanotube field effect transistors
US10193090B2 (en) 2017-06-20 2019-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11088246B2 (en) * 2019-07-18 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
CN110571332B (zh) * 2019-08-02 2023-06-23 北京元芯碳基集成电路研究院 晶体管及其制造方法
CN110767804B (zh) * 2019-11-19 2020-11-06 北京元芯碳基集成电路研究院 一种碳纳米管器件及其制造方法
US11930696B2 (en) 2021-03-18 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication method of a double-gate carbon nanotube transistor

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110127493A1 (en) 2009-11-30 2011-06-02 International Business Machines Corporation Self aligned carbide source/drain fet
US20110127492A1 (en) 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19712233C2 (de) 1996-03-26 2003-12-11 Lg Philips Lcd Co Flüssigkristallanzeige und Herstellungsverfahren dafür
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
JP2001005038A (ja) * 1999-04-26 2001-01-12 Samsung Electronics Co Ltd 表示装置用薄膜トランジスタ基板及びその製造方法
US6891227B2 (en) * 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
KR100611148B1 (ko) 2003-11-25 2006-08-09 삼성에스디아이 주식회사 박막트랜지스터, 그의 제조방법 및 이를 사용하는 유기전계발광소자
US7700459B2 (en) * 2004-06-01 2010-04-20 Nikon Corporation Method for producing electronic device and electronic device
JP4890447B2 (ja) 2004-06-02 2012-03-07 トムソン ライセンシング ドープ有機層を有する有機発光ダイオード
JP2006245127A (ja) 2005-03-01 2006-09-14 Toshiba Corp 半導体装置及びその製造方法
US7687841B2 (en) * 2005-08-02 2010-03-30 Micron Technology, Inc. Scalable high performance carbon nanotube field effect transistor
US20070155064A1 (en) 2005-12-29 2007-07-05 Industrial Technology Research Institute Method for manufacturing carbon nano-tube FET
US7482261B2 (en) 2006-07-26 2009-01-27 International Business Machines Corporation Interconnect structure for BEOL applications
US20080173864A1 (en) 2007-01-20 2008-07-24 Toshiba America Research, Inc. Carbon nanotube transistor having low fringe capacitance and low channel resistance
KR101377597B1 (ko) 2007-03-21 2014-03-27 삼성디스플레이 주식회사 트랜지스터 및 그 제조방법
KR100842753B1 (ko) 2007-06-29 2008-07-01 주식회사 하이닉스반도체 스페이서를 이용한 반도체소자의 패턴 형성방법
US7534675B2 (en) 2007-09-05 2009-05-19 International Business Machiens Corporation Techniques for fabricating nanowire field-effect transistors
JP4485559B2 (ja) * 2007-09-26 2010-06-23 株式会社 日立ディスプレイズ 液晶表示装置
US7786466B2 (en) * 2008-01-11 2010-08-31 International Business Machines Corporation Carbon nanotube based integrated semiconductor circuit
CN101281933A (zh) * 2008-04-29 2008-10-08 北京大学 一种基于碳纳米管的光电器件、光电集成电路单元及电路
JP5256850B2 (ja) * 2008-05-29 2013-08-07 ミツミ電機株式会社 電界効果トランジスタ及びその製造方法
US20090311634A1 (en) 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8138093B2 (en) 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110127493A1 (en) 2009-11-30 2011-06-02 International Business Machines Corporation Self aligned carbide source/drain fet
US20110127492A1 (en) 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel

Also Published As

Publication number Publication date
CN103107199A (zh) 2013-05-15
CN103107199B (zh) 2016-01-06
US20130089956A1 (en) 2013-04-11
US8803129B2 (en) 2014-08-12
GB2495826A (en) 2013-04-24
US20130087767A1 (en) 2013-04-11
DE102012217482B4 (de) 2019-07-04
US8816328B2 (en) 2014-08-26
GB201218064D0 (en) 2012-11-21
GB2495826B (en) 2013-11-20

Similar Documents

Publication Publication Date Title
DE102012217482B4 (de) Strukturieren von Kontakten in Kohlenstoff-Nanoröhren-Einheiten
DE112012001825B4 (de) Verfahren zum Herstellen einer Graphen- oder Kohlenstoff-Nanoröhren-Einheit mit lokalisierten unteren Gates und Gate-Dielektrikum
DE112013005369B4 (de) Gate-All-Around-Kohlenstoff-Nanoröhrentransistor mit dotierten Abstandselementen
DE102008060644B4 (de) Verfahren zur Herstellung einer Graphennanostruktur
DE112005000854B4 (de) Verfahren zum Herstellen eines Halbleiterelements mit einer High-K-Gate-Dielektrischen Schicht und einer Gateelektrode aus Metall
DE102017114953B4 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE112010004367B4 (de) Selbstausgerichteter Graphentransistor
DE60206913T2 (de) Herstellung einer molekülare elektronische schaltung mittels stanzens
DE102016204201B4 (de) Verfahren zum Ausbilden eines supraleitenden Weak-Link-Kontakts und Weak-Link-Kontakt mittels silicidierter Nanodrähte für Nanobrücken-Weak-Links
DE112011101023B4 (de) An den Gates selbstausgerichtete epitaktische Source-/Drain-Kontakte für abgeschiedene Fet-Kanäle
DE112012001742T5 (de) Aus SiC-Finnen oder Nanodrahtvorlagen gefertigte Graphennanobänder und Kohlenstoff-Nanoröhren
DE112012004333B4 (de) Verfahren zur Herstellung einer Feldeffekttransistor-Einheit mit Nanodrähten
DE102004042167B4 (de) Verfahren zum Ausbilden einer Halbleiterstruktur, die Transistorelemente mit unterschiedlich verspannten Kanalgebieten umfasst, und entsprechende Halbleiterstruktur
DE112012002037T5 (de) Kohlenstoff-Feldeffekttransistoren, die geladene Monoschichten aufweisen, um den parasitären Widerstand zu verringern
DE102018125381A1 (de) Verfahren zum herstellen eines feldeffekttransistors unter verwendung von kohlenstoffnanoröhren und einfeldeffekttransistor
EP1305834A1 (de) Feldeffekttransistor, schaltungsanordnung und verfahren zum herstellen eines feldeffekttransistors
DE102012221620A1 (de) Verfahren zum Strukturieren von Merkmalen in einer Struktur unter Verwendung einer Mehrfachseitenwandbildübertragungstechnik
DE102005030585A1 (de) Halbleiterbauelement mit einem vertikalen Entkopplungskondensator
DE10250829A1 (de) Nichtflüchtige Speicherzelle, Speicherzellen-Anordnung und Verfahren zum Herstellen einer nichtflüchtigen Speicherzelle
DE102017123047B4 (de) Herstellungsverfahren für FINFETs mit unterschiedlichen Finnenkanalhöhen
DE102019119806B4 (de) Verfahren zum herstellen eines feldeffekttransistors mit kohlenstoff-nanoröhren und ein feldeffekttransistor
DE102017125781A1 (de) Verfahren zum Entfernen einer Ätzmaske
DE102020119458A1 (de) Niedrigdimensionale materialvorrichtung und verfahren
DE102007054077A1 (de) Verfahren zum Herstellen einer integrierten Schaltung und Anordnung mit einem Substrat
DE102019203224A1 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final