DE102011085203A1 - Halbleiterbauelemente mit Durchgangskontakten und zugehörige Herstellungsverfahren - Google Patents

Halbleiterbauelemente mit Durchgangskontakten und zugehörige Herstellungsverfahren Download PDF

Info

Publication number
DE102011085203A1
DE102011085203A1 DE102011085203A DE102011085203A DE102011085203A1 DE 102011085203 A1 DE102011085203 A1 DE 102011085203A1 DE 102011085203 A DE102011085203 A DE 102011085203A DE 102011085203 A DE102011085203 A DE 102011085203A DE 102011085203 A1 DE102011085203 A1 DE 102011085203A1
Authority
DE
Germany
Prior art keywords
layer
forming
over
conductive
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102011085203A
Other languages
English (en)
Other versions
DE102011085203B4 (de
Inventor
Ralf Richter
Jens Heinrich
Holger Schuehrer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102011085203A1 publication Critical patent/DE102011085203A1/de
Application granted granted Critical
Publication of DE102011085203B4 publication Critical patent/DE102011085203B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es werden Vorrichtungen für Halbleiterbauteilstrukturen und zugehörige Herstellungsverfahren bereitgestellt. Ein Verfahren zur Herstellung einer Halbleiterbauteilstruktur beinhaltet das Bilden einer Schicht aus dielektrischem Material über einem dotierten Gebiet, das in einem Halbleitersubstrat benachbart zu einer Gatestruktur ausgebildet ist, und das Bilden eines leitenden Kontakts in der Schicht aus dielektrischem Material. Der leitende Kontakt liegt über dem dotierten Gebiet und stellt eine elektrische Verbindung dazu her. Das Verfahren geht weiter, indem eine zweite Schicht aus dielektrischem Material über dem leitenden Kontaktgebildet gebildet wird, ein Lochgebiet in der zweiten Schicht über dem leitenden Kontakt gebildet wird, eine dritte Schicht aus dielektrischem Material über dem Lochgebiet gebildet wird und ein weiteres Lochgebiet in der dritten Schicht über zumindest einem Teil des Lochgebiets in der zweiten Schicht hergestellt wird. Das Verfahren geht weiter, indem ein leitendes Material hergestellt wird, das beide Lochgebiete ausfüllt, so dass der leitende Kontakt kontaktiert wird.

Description

  • Technisches Gebiet
  • Ausführungsformen des vorliegenden Gegenstands betreffen Halbleiterbauelementestrukturen und zugehörige Fertigungsverfahren und betreffen insbesondere Bauelemente und zugehörige Fertigungsverfahren zur Herstellung von Durchgangskontakten zwischen einer oben liegenden Metallverbindungsschicht und Bauteilstrukturen, die auf einem darunter liegenden Halbleitersubstrat hergestellt sind.
  • Transistoren, etwa Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFET) sind die wesentlichen Bestandteile der meisten Halbleiterbauelemente. Gewisse Halbleiterbauelemente, etwa Prozessorbauelemente mit hohen Leistungsvermögen, können Millionen Transistoren enthalten. Für derartige Bauelemente sind von je her das Verringern der Transistorgröße und somit die Erhöhung der Transistordichte eine hohe Priorität in der Halbleiterfertigungsindustrie. Wenn die Größe und der Abstand der Transistorelemente kleiner werden, sind auch die Vorgaben für die Abmessungen der elektrischen Kontakte zwischen den Transistoren und den verbindenden Metallschichten ebenfalls entsprechend anzupassen. Als Folge davon ist es schwierig, in genauer und wiederholbarer Weise elektrische Kontakte mit geringem Widerstrand herzustellen.
  • Kurzer Überblick
  • Es wird ein Verfahren zur Herstellung einer Halbleiterbauteilstruktur hergestellt. Das Verfahren umfasst die Schritte: Bilden einer ersten Schicht eines dielektrischen Materials über einem dotierten Gebiet, das in einem Halbleitersubstrat benachbart zu einer Gatestruktur ausgebildet ist, und Bilden eines leitenden Kontakts, der über dem dotierten Gebiet vorgesehen und elektrisch mit diesem verbunden ist, in der ersten Schicht aus dielektrischem Material. Das Verfahren geht weiter mit: Bilden einer zweiten Schicht aus dielektrischem Material über der Gatestruktur, über dem leitenden Kontakt und über der ersten Schicht aus dielektrischem Material, Bilden eines ersten Gebiets mit Hohlraum bzw. mit Loch, auch als Hohlraumgebiet oder Lochgebiet bezeichnet, in der zweiten Schicht über dem leitenden Kontakt, Bilden einer dritten Schicht aus dielektrischem Material über der zweiten Schicht und Bilden eines zweiten Lochgebiets in der dritten Schicht. Zumindest ein Teil des zweiten Lochgebiets liegt zumindest über einem Teil des ersten Lochgebiets. Das Verfahren geht weiter, indem ein leitendes Material in dem zweiten Lochgebiet hergestellt wird, wobei dieses Material auch das erste Lochgebiet zur Kontaktierung des leitenden Kontakts füllt.
  • In einer weiteren Ausführungsform wird ein Verfahren zur Herstellung eines Bauelements bereitgestellt, das eine Gatestruktur über einem Halbleitersubstrat und ein dotiertes Gebiet, das in dem Halbleitersubstrat benachbart zu der Gatestruktur ausgebildet ist, aufweist. Das Verfahren umfasst die Schritte: Bilden einer ersten Schicht aus dielektrischem Material über der Gatestruktur und dem dotierten Gebiet, Bilden eines ersten Lochgebiets in der ersten Schicht aus dielektrischem Material über dem dotierten Gebiet, und Bilden eines ersten leitenden Materials in dem ersten Lochgebiet, wobei das erste leitende Material, das in dem ersten Lochgebiet ausgebildet ist, eine elektrische Verbindung zu dem dotierten Gebiet herstellt. Das Verfahren geht weiter mit: Bilden einer zweiten Schicht aus dielektrischem Material über der Gatestruktur, dem ersten leitenden Material, das in dem ersten Lochgebiet ausgebildet ist, und über der ersten Schicht aus dielektrischen Material, Bilden eines zweiten Lochgebiets in der zweiten Schicht über dem ersten leitenden Material, das in dem ersten Lochgebiet ausgebildet ist, Bilden einer Metallverbindungsschicht über der zweiten Schicht, und Bilden eines zweiten leitenden Materials in dem zweiten Lochgebiet derart, dass eine elektrische Verbindung zwischen der Metallverbindungsschicht und dem dotierten Gebiet über das erste leitende Material, das in dem ersten Lochgebiet ausgebildet ist, hergestellt wird.
  • In einer weiteren Ausführungsform wird eine Vorrichtung für ein Halbleiterbauelement bereitgestellt. Das Bauelement umfasst ein Halbleitersubstrat, eine Gatestruktur über dem Halbleitersubstrat, ein dotiertes Gebiet, das in dem Halbleitersubstrat in der Nähe der Gatestruktur ausgebildet ist, und einen leitenden Kontakt über dem dotierten Gebiet. Der leitende Kontakt besitzt eine Höhe, die im Wesentlichen gleich einer Höhe der Gatestruktur ist. Ein erstes dielektrisches Material ist zwischen dem leitenden Kontakt und der Gatestruktur ausgebildet. Ein zweites dielektrisches Material liegt über dem ersten dielektrischen Material und der Gatestruktur, und eine Metallverbindungsschicht liegt über dem zweiten dielektrischen Material. Die Metallverbindungsschicht enthält ein leitendes Metallmaterial, wobei zumindest ein Teil des leitenden Metallmaterials in dem zweiten dielektrischen Material über dem leitenden Kontakt ausgebildet ist, so dass eine Verbindung zu dem leitenden Kontakt hergestellt ist und eine elektrische Verbindung zwischen der Metallverbindungsschicht und dem dotierten Gebiet entsteht.
  • Dieser Überblick wird bereitgestellt, um eine Auswahl von Konzepten, die nachfolgend detailliert in der folgenden detaillierten Beschreibung ausgeführt sind, in vereinfachter Form anzugeben. Dieser Überblick beabsichtigt nicht, Schlüsselmerkmale oder wesentliche Merkmale der beanspruchten Erfindung anzugeben, und es ist auch nicht beabsichtigt, dass der Überblick als ein Mittel zum Bestimmen des Schutzbereichs der vorliegenden Erfindung dient.
  • Kurze Beschreibung der Zeichnungen
  • Ein vollständiges Verständnis der Erfindung kann erhalten werden, indem auf die detaillierte Beschreibung und die Ansprüche Bezug genommen wird, wobei diese in Verbindung mit den folgenden Figuren zu studieren sind, in denen gleiche Bezugszeichen gleiche oder ähnliche Elemente in den vorliegenden Zeichnungen darstellen.
  • 1 bis 8 sind Querschnittsansichten, die eine Bauteilstruktur und Verfahren zur Herstellung der Bauteilstruktur in anschaulichen Ausführungsformen zeigen; und
  • 9 ist eine Querschnittsansicht, die eine Bauteilstruktur und zugehörige Verfahren zur Herstellung der Bauteilstruktur gemäß einer Ausführungsform zeigt.
  • Detaillierte Beschreibung
  • Die folgende detaillierte Beschreibung ist lediglich anschaulicher Natur und soll die Ausführungsformen der vorliegenden Erfindung oder die Anwendung und die Verwendungszwecke derartiger Ausführungsformen nicht beschränken. Im hierin verwendeten Sinne bedeutet der Begriff „anschaulich” „als Beispiel dienend oder als Darstellung dienend”. Eine Ausführungsform, die hierin als anschaulich beschrieben ist, sollte nicht notwendigerweise als bevorzugte oder vorteilhafte Ausführungsform gegenüber anderen Ausführungsformen betrachtet werden. Ferner soll nicht beabsichtigt sein, eine Begrenzung im Hinblick auf eine mögliche Theorie anzugeben, die explizit oder implizit in dem vorhergehenden technischen Gebiet, im Hintergrund, dem kurzen Überblick oder in der folgenden detaillierten Beschreibung genannt ist.
  • 1 bis 8 zeigen eine Bauteilstruktur 100 und zugehörige Prozessschritte zur Herstellung der Bauteilstruktur 100 mit leitenden elektrischen Kontakten (im Weiteren auch als Durchgangskontakte bezeichnet) zwischen einem Halbleiterbauelement, etwa einem Transistor, und einer benachbarten Metallverbindungsschicht (beispielsweise Metallschicht 1 oder Metall 1). Diverse Schritte bei der Herstellung von Halbleiterbauelementen sind gut bekannt, und daher werden im Sinne der Kürze viele konventionelle Schritte hier lediglich kurz erwähnt oder deren Beschreibung wird vollständig weggelassen, so dass die gut bekannten Prozessdetails hier nicht genannt sind.
  • Gemäß 1 beginnt ein Fertigungsvorgang für einen Durchgangskontakt nach den Bearbeitungsschritten des Halbleiterbereichs der Fertigung (FEOL), in denen eine oder mehrere Halbleiterbauteilstrukturen auf einem Substrat 102 hergestellt werden, das aus einem Halbleitermaterial, etwa einem siliziumenthaltenden Material in konventioneller Weise aufgebaut ist. Beispielsweise werden die FEOL-Prozessschritte ausgeführt, um mehrere Transistorstrukturen 104, 106, 108 auf dem Halbleitersubstrat 102 zu erzeugen. In der dargestellten Ausführungsform enthält jede Transistorstruktur 104, 106, 108 eine entsprechende Gatestruktur 110, 112, 114 über dem Halbleitersubstrat 102, die entsprechend als eine Gateelektrode für die jeweilige Transistorstruktur 104, 106, 108 dient. Die Gaterstrukturen 110, 112, 114 können unter Anwendung eines konventionellen Gatestapelmoduls oder einer Kombination aus gut bekannten Prozessschritten hergestellt werden. In der Praxis enthält jede Gatestruktur 110, 112, 114 typischerweise mindestens eine Schicht aus dielektrischem Material 116 über dem Halbleitersubstrat 102, und mindestens eine Schicht aus leitendem Material 118 über dem dielektrischen Material 116. Es sollte beachtet werden, dass diverse Kombinationen und/oder Anordnungen aus Materialien für die Gatestrukturen in einer praktischen Ausführungsform eingesetzt werden können, und die hierin beschriebene Erfindung ist nicht auf eine spezielle Anzahl, eine Kombination oder Anordnung von Gatematerialien in der Gaterstruktur beschränkt. Des weiteren soll die vorliegende Erfindung nicht auf eine spezielle Anzahl an Gatestrukturen beschränkt sein. In der dargestellten Ausführungsform enthält jede Transistorstruktur 104, 106, 108 voneinander beabstandete dotierte Gebiete 120, 122, 124, 126, die in dem Halbleitersubstrat 102 benachbart zu der jeweiligen Gatestruktur 110, 112, 114 angeordnet sind. In einer anschaulichen Ausführungsform dienen die dotierten Gebiete 120, 122, 124,126 als Source/Draingebiete für die jeweiligen Transistorstrukturen 104, 106, 108 und folglich werden der Einfachheit halber und ohne einschränkend zu sein die dotierten Gebiete 120, 122, 124, 126 auch hierin als Source/Draingebiete bezeichnet. Es sollte beachtet werden, dass, obwohl in 1 die Source/Draingebiete als Gebiete dargestellt sind, die integral oder anderweitig zusammenhängend mit Source/Draingebieten benachbarter Transistorstrukturen zum Zwecke der Darstellung hergestellt sind, der hierin offenbarte Gegenstand nicht auf eine spezielle Anordnung der Source/Draingebiete eingeschränkt ist. In einer anschaulichen Ausführungsform enthaltern die Source/Draingebiete 120, 122, 124, 126 Kontaktgebiete 128, 130, 132, 134, die auf den oberen Flächen der Source/Draingebiete ausgebildet sind, so dass eine elektrische Verbindung zwischen den jeweiligen Source/Draingebieten 120, 122, 124, 126 der Transistorstrukturen 104, 106, 108 und einer benachbarten Metallverbindungsschicht hergestellt wird, wie sie nachfolgend detaillierter beschrieben ist. Die Kontaktgebiete 128, 130, 132, 134 können als Metallsilizidschicht verwirklicht werden, die in freiliegenden oberen Flächen der Source/Draingebiete 120a, 122, 124, 126 in konventioneller Weise hergestellt wird. Obwohl dies nicht dargestellt ist, werden in einigen Ausführungsformen Kontaktgebiete auch auf der oberen Fläche des leitenden Gatematerials 118 hergestellt, wie dies auch im Stand der Technik bekannt ist.
  • Es sei weiterhin auf 1 verwiesen; in einer anschaulichen Ausführungsform beginnt der Herstellungsprozess für Durchgangskontakte damit, dass eine erste Schicht aus dielektrischem Material 138 über den Transistorstrukturen 104, 106, 108 hergestellt wird und eine zweite Schicht aus dielektrischem Material 140 über der ersten Schicht aus dielektrischem Material 138 erzeugt wird, woraus sich die in 1 gezeigte Bauteilstruktur 100 ergibt. In einer anschaulichen Ausführungsform wird die erste Schicht aus dielektrischem Material 138 als eine Schicht aus Nitridmaterial, etwa Siliziumnitrid, vorgesehen, die konform über den Transistorstrukturen 104, 106, 108 mit einer Dicke im Bereich von ungefähr 10 Nanometer (nm) bis ungefähr 50 nm beispielsweise durch chemische Dampfabscheidung (CVD) bei einer Temperatur von weniger als ungefähr 500 Grad C hergestellt wird. In einer anschaulichen Ausführungsform wird die zweite Schicht aus dielektrischem Material 140 als eine Schicht aus Oxidmaterial, etwa Siliziumdioxid realisiert, das konform über der Schicht aus Nitridmaterial 138 mit einer Dicke aufgebracht wird, die so gewählt ist, dass das Oxidmaterial 140 Lücken bzw. Spalte zwischen den Gatestrukturen 110, 112, 114 bis zu einer minimalen Höhe auffüllt, die der Höhe der Gatestrukturen 110, 112, 114 entspricht oder größer ist, oder anders ausgedrückt, die Dicke des Oxidmaterials 138 ist größer als der oder gleich dem Unterschied zwischen der Höhe der Gatestrukturen 110, 112, 114 und der Dicke der Schicht aus Nitridmaterial 138. Beispielsweise wird eine Schicht aus Siliziumdioxid durch CVD oder Atomlagenabscheidung (ALD) bei einer Temperatur von weniger als ungefähr 500 Grad C hergestellt, bis die Spalte zwischen den Gatestrukturen 110, 112, 114 vollständig bis zu einer gewissen Höhe über den Gatestrukturen 110, 112, 114 gefüllt sind. Wie nachfolgend detaillierter beschrieben ist, dient die Nitridschicht 138 auch als eine Ätzstoppschicht, wenn das Oxidmaterial 140 zur Erzeugung von Lochgebieten (oder Löchern) geätzt wird, um damit lokale Kontakte zu den Source/Draingebieten 120, 122, 124, 126 herzustellen.
  • Nach der Herstellung der dielektrischen Schichten 138, 140 geht in der dargestellten Ausführungsform der Herstellungsvorgang für den Durchgangskontakt weiter, indem Bereiche der dielektrischen Schichten 138, 140 entfernt werden, so dass eine im Wesentlichen ebene Oberfläche 142 erzeugt wird, die zu der oberen Oberfläche der Gatestrukturen 110, 112, 114 ausgerichtet ist, so dass sich die in 1 gezeigte Bauteilstruktur 100 ergibt. In einer anschaulichen Ausführungsform werden in dem Fertigungsvorgang die dielektrischen Schichten 138, 140 eingeebnet, um in gleichmäßiger Weise Bereiche der dielektrischen Schichten 138 und 140 über das Halbleitersubstrat 102 hinweg zu entfernen, bis schließlich das leitende Material 118 der Gatestrukturen 110, 112, 114 erreicht wird. Anders ausgedrückt, der Fertigungsvorgang für den Durchgangskontakt beendet das Einebnen der dielektrischen Schichten 138, 140, wenn die oberen Flächen der Gatestrukturen 110, 112, 114 freigelegt sind. Gemäß einer Ausführungsform wird ein chemisch-mechanisches Einebnen (CMP) angewendet, um die dielektrischen Schichten 138, 140 mit einem chemischen Schleifmittel für eine vorbestimmte Zeitdauer auf der Grundlage der Dicke der dielektrischen Schichten 138, 140 derart zu polieren, dass der CMP-Prozess stoppt, wenn die oberen Flächen der Gatestrukturen 110, 112, 114 freigelegt werden. Es können auch alternative Endpunkterkennungstechniken eingesetzt werden, um zu bestimmen, wann der CMP-Prozess anzuhalten ist, oder es können alternative Einebnungstechniken angewendet werden, um die im Wesentlichen ebene Oberfläche 142 zu erhalten, die zu den oberen Flächen der Gatestrukturen 110, 112, 114 ausgerichtet bzw. damit bündig ist.
  • Gemäß 2 geht in einer anschaulichen Ausführungsform nach dem Einebnungsschritt der Herstellungsvorgang für den Durchgangskontakt weiter, indem selektiv Bereiche der dielektrischen Materialien 138, 140 entfernt werden, so dass mit Hohlräumen bzw. Löchern versehene Gebiete bzw. Hohlraumgebiete bzw. Lochgebiete 144, 146 (oder Löcher) in den dielektrischen Materialien 138, 140 erzeugt werden. Die Lochgebiete 144, 146 definieren die lateralen Abmessungen lokaler Kontakte, die nachfolgend darin hergestellt werden, wie dies im Zusammenhang mit der 3 erläutert ist. In einer anschaulichen Ausführungsform wird durch den Fertigungsvorgang für den Durchgangskontakt eine Schicht eines Maskierungsmaterials erzeugt (beispielsweise ein Photolackmaterial, ein Nitridmaterial oder dergleichen), das über der planaren Oberfläche 142 liegt, und es werden Bereiche des Maskierungsmaterials selektiv entfernt (beispielsweise unter Anwendung von Photolithographie oder einer geeigneten Ätzchemie), um eine Maske zu erzeugen, die Bereiche der dielektrischen Materialien 138, 140 über den Source/Draingebieten 122, 124 freilegt, die nachfolgend entfernt werden, um die Lochgebiete 144, 146 zu erzeugen, während die Bereiche des Maskierungsmaterials über den Gatestrukturen 110, 112, 114 intakt bleiben. In einer anschaulichen Ausführungsform sind Bereiche der dielektrischen Materialien 138, 140 benachbart zu den Gatestrukturen 110, 112, 114 durch das Maskierungsmaterial geschützt, so dass nachfolgend hergestellte lokale Kontakte elektrisch von den benachbarten Gatestrukturen 110, 112, 114 getrennt sind.
  • Nach dem Strukturieren des Maskenmaterials geht der Fertigungsvorgang für den Durchgangskontakt weiter, indem selektiv Bereiche der dielektrischen Schichten 138, 140 unter Anwendung des strukturierten Maskenmaterials als Ätzmaske entfernt werden. In einer anschaulichen Ausführungsform werden die freiliegenden Bereiche des dielektrischen Materials 140 unter Anwendung eines anisotropen (oder gerichteten) Ätzprozess entfernt, der auf der Schicht aus dielektrischem Material 138 anhält. Beispielsweise können freiliegende Bereiche von Siliziumdioxid (beispielsweise das dielektrische Material 140) anisotrop durch plasmabasierte reaktive Ionenätzung (RIE) unter Anwendung einer anisotropen Ätzchemie geätzt werden, etwa auf der Basis von Fluorkohlenstoff als Plasmachemie, die selektiv zu Nitridmaterial ist (beispielsweise das dielektrische Material 138). Das verbleibende Maskenmaterial verhindert, dass der anisotrope Ätzprozess Bereiche des dielektrischen Materials 140 unter dem Maskenmaterial entfernt, während die freiliegenden Bereiche des dielektrischen Materials 140 abgetragen werden. In einer anschaulichen Ausführungsform wird das dielektrische Material 140 geätzt, bis das darunter liegende dielektrische Material 128 frei liegt. Dabei führen die Ätzchemie oder die Ätzbedingungen, die zum Ätzen des dielektrischen Materials 140 angewendet werden, nicht zu einer Ätzung des darunter liegenden dielektrischen Materials 138 mit der gleichen Rate, so dass das darunter liegende dielektrische Material 138 als ein Ätzstopp dient. In einer Ausführungsform werden nach dem Entfernen freiliegender Bereiche des dielektrischen Materials 140 die freiliegenden Bereiche des dielektrischen Materials 138 unter Anwendung eines anisotropen Ätzmittels entfernt, bis die Kontaktgebiete 130, 132 durch die Lochgebiete 144, 146 freigelegt sind. Das Maskierungsmaterial ist vorzugsweise gegenüber den anisotropen Ätzchemien resistent und/oder besitzt eine Dicke derart, dass die oberen Flächen der darunter liegenden dielektrischen Materialien 138, 140 und/oder des leitenden Materials 118 als Folge der Ätzprozessschritte nicht freigelegt werden. Nach dem Entfernen der freiliegenden Bereiche des dielektrischen Materials 138 zur Erzeugung der Lochgebiete 144, 146 geht der Herstellungsprozess weiter, indem verbleibendes Maskenmaterial in konventioneller Weise entfernt wird, woraus sich die in 2 gezeigte Bauteilstruktur 100 ergibt. Es sollte beachtet werden, dass in anderen Ausführungsformen die dielektrischen Materialien 138, 140 unter Anwendung eines einzelnen Ätzmittels als Teil eines einzelnen Ätzprozessschritts entfernt werden. In einer anschaulichen Ausführungsform sind die Lochgebiete 144, 146 von den benachbarten Gatestrukturen 110, 112, 114 mit einem Abstand von ungefähr 10 nm durch das verbleibende dielektrische Material 128, 140 getrennt, so dass nachfolgend hergestellte lokale Kontakte elektrisch von den benachbarten Gatestrukturen 110, 112, 114 getrennt sind.
  • Es sei nun auf 3 verwiesen; nach dem Herstellen der Hohlraumgebiete bzw. Lochgebiete 144, 146 geht der Herstellungsprozess für den Durchgangskontakt weiter, indem lokale Kontakte 148, 150 in den Lochgebieten 144, 146 hergestellt werden. Dabei werden die lokalen Kontakte 148, 150 als ein leitendes Material 152 realisiert, das eine elektrische Verbindung zu den Kontaktgebieten 130, 122 und den Source/Draingebieten 122, 124 herstellt. Die lokalen Kontakte 148, 150 werden vorzugsweise durch konformes Abscheiden eines leitenden Materials 152, etwa eines Wolframmaterials, über dem Halbleitersubstrat 102 mit einer Dicke erzeugt, die so gewählt ist, dass das leitende Material 152 die Lochgebiete 144, 146 bis zu einer minimalen Höhe auffüllt, die der Höhe der Gatestrukturen 110, 112, 114 entspricht oder über diese Höhe hinausgeht (beispielsweise eine „bündige” Auffüllung oder eine Überfüllung). In einer anschaulichen Ausführungsform werden die lokalen Kontakte 148, 150 durch konformes Abscheiden von Wolfram durch CVD oder ALD bei einer Temperatur von weniger als ungefähr 500 Grad mit einer Dicke hergestellt, die im Wesentlichen gleich oder geringfügig größer ist als die Höhe der Gatestrukturen 110, 112, 114. Dabei ist ein Wolframmaterial in der Lage, die Lochgebiete 144, 146 vollständig aufzufüllen, ohne dass es in das Halbleitersubstrat 102 und/oder die Kontaktgebiete 130, 132 diffundiert. Nach der Herstellung der Schicht aus leitendem Material 152, geht der Herstellungsprozess für den Durchgangskontakt weiter, indem die Bauteilstruktur 100 eingeebnet wird, so dass Bereiche des leitenden Materials 152 entfernt werden, die nicht die Lochgebiete 144, 146 auffüllen, so dass eine im Wesentlichen ebene Oberfläche 154 geschaffen wird, die zu der oberen Oberfläche der Gatestrukturen 110, 112, 114 ausgerichtet ist. Dabei wird das leitende Material 152 gleichmäßig über die Bauteilstruktur 100 hinweg entfernt, bis das leitende Material 118 der Gatestrukturen 110, 112, 114 erreicht ist, indem beispielsweise ein CMP-Prozess angewendet wird, um das leitende Material 152 mit einem chemischen Schleifmittel zu schleifen, wobei der Vorgang angehalten wird, wenn die oberen Flächen der Gatestrukturen 110, 112, 114 freigelegt werden, wie dies in ähnlicher Weise zuvor beschrieben ist.
  • Gemäß 4 geht in einer anschaulichen Ausführungsform nach der Herstellung der lokalen Kontakte 148, 150 der Fertigungsvorgang für den Durchgangskontakt weiter, indem eine Schicht aus dielektrischem Material 156 über den lokalen Kontakten 148, 150 hergestellt wird. In einer anschaulichen Ausführungsform wird die Schicht aus dielektrischem Material 156 als eine Schicht aus Nitridmaterial, etwa Siliziumnitrid, hergestellt, das konform über dem Halbleitersubstrat 102 bis zu einer Dicke abgeschieden wird, die weniger als ungefähr 50 nm ist, beispielsweise durch CVD bei einer Temperatur unter 500 Grad C.
  • Gemäß 5 geht in einer anschaulichen Ausführungsform nach der Herstellung der Schicht aus dielektrischem Material 156 der Fertigungsvorgang für den Durchgangskontakt weiter, indem selektiv Bereiche des dielektrischen Materials 156 entfernt werden, so dass Lochgebiete 158, 160 (oder Löcher) in der Schicht aus dielektrischem Material 156 erzeugt werden, die die lateralen Abmessungen von Durchgangskontakten festlegen, die nachfolgend in den Lochgebieten 158, 160 erzeugt werden. Dabei werden die Lochgebiete bzw. Löcher 158, 160 so erzeugt, dass zumindest ein Teil der Lochgebiete 158, 160 mit den lokalen Kontakten 148, 150 überlappt oder in anderer Weise darüber ausgebildet ist. Vorzugsweise sind die Lochgebiete 158, 160 vertikal zu den lokalen Kontakten 148, 150 ausgerichtet oder in anderer Weise in Bezug dazu zentriert. Wie zuvor beschrieben ist, können die Lochgebiete 158, 160 hergestellt werden, indem eine Schicht aus Maskierungsmaterial (beispielsweise ein Photolackmaterial, ein Hartmaskenmaterial oder dergleichen) über dem dielektrischen Material 156 entfernt wird, indem selektiv Bereiche des Maskierungsmaterials (beispielsweise unter Anwendung von Photolithographie oder einer geeigneten Ätzchemie) abgetragen werden, so dass eine Maske definiert wird, die Bereiche des dielektrischen Materials 156 über den lokalen Kontakten 148, 150 frei legt, und indem selektiv die freiliegenden Bereiche des dielektrischen Materials 156 unter Anwendung einer anisotropen Ätzchemie abgetragen werden, so dass die lokalen Kontakte 148, 150 freigelegt werden. In einer anschaulichen Ausführungsform wird auch das Maskenmaterial strukturiert, so dass Bereiche des dielektrischen Materials 156 über den Gatestrukturen 110, 114 freigelegt werden, bevor das dielektrische Material 156 geätzt wird, so dass die Lochgebiete 162, 164, die über den Gatestrukturen 110, 114 angeordnet sind, gleichzeitig mit den Lochgebieten 158, 160 hergestellt werden (beispielsweise zur gleichen Zeit als Teil des gleichen Ätzschrittes). Nach dem Erzeugen der Lochgebiete 158, 160, 162, 164 wird verbleibendes Maskenmaterial in konventioneller Weise entfernt, woraus sich die in 5 gezeigte Bauteilstruktur 100 ergibt.
  • Wie nachfolgend detaillierter im Zusammenhang mit der 8 erläutert ist, legen die Lochgebiete bzw. Löcher 158, 160, 162, 164 die horizontalen (oder lateralen) Abmessungen von nachfolgend hergestellten Durchgangskontakten fest, die die elektrische Verbindung zwischen den lokalen Kontakten 148, 150 und/oder den Gatestrukturen 110, 114 und einer darüber liegenden Metallschicht herstellen. In einer anschaulichen Ausführungsform ist das Aspektverhältnis der Lochgebiete 158, 160, 162, 164, d. h. das Verhältnis der vertikalen Abmessung (oder Höhe) der Lochgebiete bzw. Löcher 158, 160, 162, 164 zu der horizontalen (oder lateralen) Abmessung der Lochgebiete 158, 160, 162, 164 kleiner oder gleich 1, so dass eine bessere Steuerung der kritischen Abmessungen der Lochgebiete bzw. Löcher 158, 160, 162, 164 erreicht wird, d. h. die größte horizontale oder laterale Abmessung der Lochgebiete 158, 160, 162, 164, während das dielektrische Material 156 mit reduzierter Ätzzeit abgetragen wird. In dieser Hinsicht ist gemäß einer oder mehreren Ausführungsformen die kritische Abmessung der Lochgebiete 158, 160 größer als die Dicke des dielektrischen Materials 156 (beispielsweise größer als 50 nm). Als Folge davon, dass das Aspektverhältnis der Lochgebiete 158, 160, 162, 164 kleiner oder gleich 1 ist (beispielsweise auf Grund der relativ geringen Schichtdicke des dielektrischen Materials 156), sind die Lochgebiete 158, 160, 162, 164 nachfolgend einfacher zu füllen, und können daher mit einem leitenden Metallmaterial, etwa Kupfer, gefüllt werden, so dass eine elektrische Verbindung mit relativ geringen Widerstand zwischen der darüber liegenden Metallschicht und den lokalen Kontakten 148, 150 und/oder den Gatestrukturen 110, 114 bereitgestellt wird.
  • Es sei nun auf die 6 bis 8 verwiesen. In einer anschaulichen Ausführungsform geht der Herstellungsprozess für den Durchgangskontakt nach der Herstellung der Lochgebiete 158, 160, 162, 164 in dem dielektrischen Material 156 weiter, indem eine Metallverbindungsschicht über dem Halbleitersubstrat 102 hergestellt wird. In dieser Hinsicht wird die Metallverbindungsschicht als die erste Metallschicht (beispielsweise Metall 1) hergestellt, die am nächsten oder anderweitig benachbart zu den Halbleiterbauelementen 104, 106, 108 angeordnet ist, die auf dem Halbleitersubstrat 102 ausgebildet sind. In einer anschaulichen Ausführungsform wird in dem Herstellungsvorgang für den Durchgangskontakt die Metallverbindungsschicht hergestellt, indem eine Schicht aus einem dielektrischen Material 166, etwa Siliziumdioxid oder ein anderes geeignetes Oxidmaterial, über der Bauteilstruktur 100 aus 5 konform abgeschieden wird, woraus sich die in 6 gezeigte Bauteilstruktur 100 ergibt. Dabei dient die Schicht aus dielektrischem Material 166 als das Zwischenschichtdielektrikum für die Metallverbindungsschicht. In einer anschaulichen Ausführungsform wird das dielektrische Material 166 konform über dem Halbleitersubstrat 102 mit einer Dicke abgeschieden, die größer ist als ungefähr 100 nm, beispielsweise durch CVD bei einer Temperatur bei oder unterhalb von 400 Grad C.
  • Es sei nun auf 7 verwiesen; der Herstellungsgang für den Durchgangskontakt geht nach der Herstellung des dielektrischen Materials 166 werter, indem selektiv Bereiche des dielektrischen Materials 166 entfernt werden, um damit Lochgebiete bzw. Löcher 168, 170, 172, 174 zu erzeugen, die dem Strukturmuster, der Leiterbahnführung und/oder den Zwischenschichtverbindungen entsprechen, die durch die Metallverbindungsschicht (beispielsweise Metall 1) vorzusehen sind, wobei dies in konventioneller Weise erfolgt. Beispielsweise wird eine Schicht aus Maskierungsmaterial (beispielsweise ein Photolackmaterial, ein Nitridmaterial, oder dergleichen) über dem dielektrischen Material 166 ausgebildet, und Bereiche des Maskierungsmaterials werden nachfolgend entfernt (beispielsweise unter Anwendung von Photolithographie oder einer geeigneten Ätzchemie), um das Strukturmuster für das Metall der Metallverbindungsschicht festzulegen. In einer anschaulichen Ausführungsform legt die Maske zumindest einen Teil des dielektrischen Materials 166 über den lokalen Kontakten 148, 150 und den Gatestrukturen 110, 114 frei, so dass zumindest ein Bereich der nachfolgend hergestellten Grabengebiete 168, 170, 172, 174 über den Lochgebieten 158, 160, 162, 164 liegen oder mit diesen überlappen, so dass ein Kanal bzw. eine Öffnung für das Metall der Metallverbindungsschicht zum Kontaktieren der lokalen Kontakte 148, 150 und der Gatestrukturen 110, 114 bereitgestellt wird. Nach dem Strukturieren des Maskenmaterials zur Erzeugung der Ätzmaske werden die freiliegenden Bereiche des dielektrischen Materials 166 selektiv unter Anwendung einer anisotropen Ätzchemie entfernt, um damit die freiliegenden Bereiche des dielektrischen Materials 166 abzutragen, bis Oberflächen der lokalen Kontakte 148, 150 und der Gatestrukturen 110, 114 freigelegt werden, wie in 7 gezeigt ist. Nach dem Ätzen des dielektrischen Materials 166 zur Bereitstellung der Grabengebiete 168, 170, 172, 174 entsprechend dem gewünschten Strukturmuster für die Metallverbindungsschicht wird verbleibendes Maskierungsmaterial in konventioneller Weise abgetragen.
  • Gemäß 8 geht der Herstellungsprozess für den Durchgangskontakt nach dem Strukturieren des dielektrischen Materials 166 weiter, indem die Metallverbindungsschicht (beispielsweise Metall 1) hergestellt wird, indem ein leitendes Metallmaterial in den Grabengebieten 168, 170, 172, 174 erzeugt wird. In einer anschaulichen Ausführungsform wird die Metallschicht hergestellt, indem ein leitendes Metallmaterial 176, etwa ein Kupfermaterial, über dem Halbleitersubstrat 102 mit einer Dicke konform abgeschieden wird, die so gewählt ist, dass das leitende Metallmaterial 176 die Grabengebiete 168, 170, 172, 174 bis zu einer minimalen Höhe ausfüllt, die der Höhe der dielektrischen Zwischenschicht 166 entspricht oder deren Höhe übersteigt (beispielsweise eine „bündige” Füllung oder eine geringfügige Überfüllung). Gemäß einer oder mehreren Ausführungsformen wird das leitende Metallmaterial 176 als ein Kupfermaterial bereitgestellt, das durch Plattieren des Halbleitersubstrats 102 bei einer Temperatur von 200 Grad C oder darunter mit einer Dicke abgeschieden wird, die im Wesentlichen gleich ist (oder geringfügig größer ist) als die Dicke des dielektrischen Materials 166. Wie in 8 gezeigt ist, wird auf Grund des relativ kleinen Aspektverhältnisses der Lochgebiete 158, 160, 162, 164 in der Schicht aus dielektrischem Material 156 das leitende Metallmaterial 176 für die Metall-1-Schicht so vorgesehen, dass es vollständig die Lochgebiete 158, 160, 162, 164 ausfüllt, wobei gleichzeitig die Grabengebiete 168, 170, 172, 174 als Teil des gleichen Prozessschrittes gefüllt werden, so dass Durchgangskontakte 178, 180, 182, 184 zwischen der Schicht Metall 1 und den lokalen Kontakten 148, 150 und den Gatestrukturen 110, 114 erzeugt werden. In dieser Hinsicht sollte im hierin verwendeten Sinne ein Durchgangskontakt als ein Bereich des leitenden Metallmaterials 176 verstanden werden, der in einem entsprechenden Lochgebiet bzw. Loch 158, 160, 162, 164 angeordnet ist oder dieses anderweitig ausfüllt, so dass eine elektrische Verbindung zu der Metallverbindungsschicht entsteht. Auf diese Weise sind die Durchgangskontakte 178, 180, 182, 184 integrale Teile der Schicht Metall 1 und stellen eine elektrische Verbindung mit geringem Widerstand zwischen dem leitenden Metallmaterial 176 der Schicht Metall 1 und den darunter liegenden lokalen Kontakten 148, 150 und den Gatestrukturen 110, 114 her.
  • Nach der Herstellung des leitenden Metallmaterials 176 geht der Herstellungsvorgang für den Durchgangskontakt weiter, indem eine gut bekannte Metallisierungsbearbeitung (BEOL) ausgeführt wird, um damit die Herstellung der Halbleiterbauteilstruktur in konventioneller Weise abzuschließen. Beispielsweise geht der Fertigungsvorgang für den Durchgangskontakt weiter, indem die Metallverbindungsschicht eingeebnet wird (beispielsweise durch Entfernen von Bereichen des leitenden Metallmaterials 176 und/oder des dielektrischen Materials 166), um eine im Wesentlichen planare Oberfläche zu schaffen, durch Bilden einer dielektrischen Zwischenschicht über der Metallverbindungsschicht, durch Bilden von Kontaktdurchführungen in dem dielektrischen Zwischenschichtmaterial, durch Erzeugen einer weiteren Metallverbindungsschicht über dem dielektrischen Zwischenschichtmaterial und durch Wiederholen dieser Schritte, bis alle notwendigen Metallverbindungsschichten erzeugt sind.
  • 9 zeigt eine Bauteilstruktur 900, die gemäß einer alternativen Ausführungsform des zuvor beschriebenen Fertigungsvorgangs für den Durchgangskontakt hergestellt ist. In der alternativen Ausführungsform werden Durchgangskontakte 902, 904, 906, 908 in den Lochgebieten 158, 160, 162, 164 nach dem Schritt des Herstellens der Lochgebiete 158, 160, 162, 164 in der im Zusammenhang mit der 5 beschriebenen Weise und vor dem Herstellen der Metallverbindungsschicht, wie dies im Zusammenhang mit den 6 bis 8 beschrieben ist, hergestellt. In der dargerstellten Ausführungsform werden die Durchgangskontakte 902, 904, 906, 908 hergestellt, indem ein leitendes Material 910 über dem Halbleitersubstrat 102 bis zu einer Dicke konform abgeschieden wird, die so gewählt ist, dass das leitende Material 910 (beispielsweise Kupfer, Wolfram, Kobalt oder ein anderes geeignetes Metallmaterial) die Lochgebiete 158, 160, 162, 164 bis zu einer minimalen Höhe auffüllt, die der Dicke der Schicht aus dielektrischem Material 156 entspricht oder diese Dicke übersteigt (beispielsweise eine „bündige” Auffüllung oder eine Überfüllung). Nach der Herstellung der Schicht aus leitendem Material 910 geht der Herstellungsvorgang für den Durchgangskontakt weiter, indem Bereiche des leitenden Materials 910 entfernt werden, so dass eine im Wesentlichen ebene Oberfläche 912 geschaffen wird. Dazu wird das leitende Material 910 gleichmäßig über das Halbleitersubstrat 102 hinweg entfernt, bis das dielektrische Material 156 erreicht wird, indem beispielsweise ein CMP-Prozess ausgeführt wird, um das leitende Material 910 mit einem chemischen Schleifmittel zu polieren, wobei der Vorgang angehalten wird, wenn die obere Fläche des dielektrischen Materials 156 freigelegt wird, wie dies in ähnlicher Weise zuvor beschrieben ist. Nach dem Entfernen des überschüssigen leitenden Materials 910 geht in der alternativen Ausführungsform der Fertigungsvorgang für den Durchgangskontakt weiter, indem die darüber liegenden Metallverbindungsschicht hergestellt wird, wie dies im Zusammenhang mit den 6 bis 8 erläutert ist.
  • Kurz zusammengefasst gilt also: Ein Vorteil der Fertigungsprozesse und der Halbleiterbauteilstrukturen, wie sie hierin beschrieben sind, besteht darin, dass Durchgangskontakte mit geringem Widerstand zwischen Transistoren oder anderen Halbleiterbauelementen, die auf einem Halbleitersubstrat ausgebildet sind, und der darüber liegenden Metallverbindungsschicht bereitgestellt werden, wobei die standardmäßigen Metallisierungssprozessschritte angewendet werden, ohne dass zusätzliche Abscheide- und Einebnungsschritte auszuführen sind. Auf Grund des relativ geringen Aspektverhältnisses können beispielsweise die Durchgangskontakte aus Metall als Teil des gleichen Metallabscheideschrittes hergestellt werden, der auch zur Herstellung des Metalls der Schicht Metall 1 angewendet wird. Da das Aspektverhältnis der Durchgangskontakte relativ gering ist, ist ferner auch der Widerstand der Durchgangskontakte relativ gering und die Durchgangskontakte können mit quadratischer (oder kreisförmiger) Form, rechteckiger (oder elliptischer) Form oder einer anderen geeigneten geometrischen Form hergestellt werden.
  • Obwohl mindestens eine anschauliche Ausführungsform in der vorhergehenden detaillierten Beschreibung angegeben ist, sollte beachtet werden, dass eine große Anzahl an Modifizierungen besteht. Es sollte auch beachtet werden, dass die anschauliche Ausführungsform oder die Ausführungsformen, wie sie hierin beschrieben sind, nicht den Schutzbereich, die Anwendbarkeit oder den Aufbau der beanspruchten Erfindung in irgend einer Weise einschränken sollen. Vielmehr stellt die vorhergehende detaillierte Beschreibung dem Fachmann eine geeignete Anleitung zur Verfügung, um die beschriebene Ausführungsform oder Ausführungsformen einzurichten. Es sollte beachtet werden, dass diverse Änderungen in Funktion und Anordnung von Elementen vorgenommen werden können, ohne von dem Schutzbereich abzuweichen, der durch die Ansprüche definiert ist, die bekannte Äquivalente und vorhersehbare Äquivalente zum Zeitpunkt des Einreichens dieser Patentanmeldung umschließen.

Claims (20)

  1. Verfahren zur Herstellung eines Bauelements mit einer Gatestruktur über einem Halbleitersubstrat und einem dotierten Gebiet, das in dem Halbleitersubstrat benachbart zu der Gatestruktur ausgebildet ist, wobei das Verfahren umfasst: Bilden einer ersten Schicht aus dielektrischem Material über dem dotierten Gebiet; Bilden eines leitenden Kontakts in der ersten Schicht aus dielektrischem Material, wobei der leitende Kontakt über dem dotierten Gebiet ausgebildet ist und elektrisch mit diesem in Verbindung steht; Bilden einer zweiten Schicht aus dielektrischem Material über der Gatestruktur, dem leitenden Kontakt und der ersten Schicht aus dielektrischem Material; Bilden eines ersten Lochgebiets in der zweiten Schicht über dem leitenden Kontakt; Bilden einer dritten Schicht aus dielektrischem Material über der zweiten Schicht; Bilden eines zweiten Lochgebiets in der dritten Schicht, wobei zumindest ein Bereich des zweiten Lochgebiets über zumindest einem Bereich des ersten Lochgebiets angeordnet ist; und Bilden eines leitenden Materials in dem zweiten Lochgebiet, wobei das leitende Material das erste Lochgebiet füllt, so dass der leitende Kontakt kontaktiert wird.
  2. Verfahren nach Anspruch 1, wobei Bilden der dritten Schicht umfasst: Bilden der dritten Schicht nach dem Bilden des ersten Lochgebiets.
  3. Verfahren nach Anspruch 1, wobei ein Aspektverhältnis des ersten Lochgebiets kleiner als eins ist.
  4. Verfahren nach Anspruch 1, wobei eine Dicke der zweiten Schicht kleiner als ungefähr 50 nm ist.
  5. Verfahren nach Anspruch 1, wobei: Bilden der dritten Schicht aus dielektrischem Material umfasst: Abscheiden eines Zwischenschichtdielektrikums für eine erste Metallverbindungsschicht; und Bilden des leitenden Materials umfasst: Abscheiden eines leitenden Metallmaterials für die erste Metallverbindungsschicht in dem zweiten Lochgebiet.
  6. Verfahren nach Anspruch 1, wobei Bilden des leitenden Materials umfasst: Bilden eines Kupfermaterials in dem zweiten Lochgebiet.
  7. Verfahren nach Anspruch 6, wobei Bilden des leitenden Kontakts umfasst: Entfernen eines Bereichs der ersten Schicht aus dielektrischem Material über dem dotierten Gebiet zur Erzeugung eines dritten Lochgebiets; und Bilden eines zweiten leitenden Materials in dem dritten Lochgebiet.
  8. Verfahren nach Anspruch 7, das ferner umfasst: Bilden eines Kontaktgebiets auf dem dotierten Gebiet vor dem Bilden der ersten Schicht aus dielektrischem Material, wobei das Kontaktgebiet durch das dritte Lochgebiet freigelegt ist und wobei Bilden des zweiten leitenden Materials umfasst: Abscheiden eines Wolframmaterials in dem dritten Lochgebiet, wobei das Wolframmaterial das Kontaktgebiet kontaktiert und eine elektrische Verbindung zwischen dem Kupfermaterial und dem Kontaktgebiet herstellt.
  9. Verfahren nach Anspruch 7, das ferner umfasst: Einebnen des zweiten leitenden Materials zum Erzeugen einer ebenen Oberfläche, die zu der Gatestruktur ausgerichtet ist, bevor die zweite Schicht aus dielektrischem Material hergestellt wird, wobei das Einebnen des zweiten leitenden Materials zu einer Höhe des leitenden Kontakts führt, die im Wesentlichen gleich der Höhe der Gatestruktur ist.
  10. Verfahren nach Anspruch 9, wobei: Bilden der zweiten Schicht umfasst: konformes Abscheiden eines Nitridmaterials über der ebenen Oberfläche; und Bilden der dritten Schicht umfasst: konformes Abscheiden einer Schicht aus Oxidmaterial über dem Nitridmaterial nach dem Bilden des ersten Lochgebiets.
  11. Verfahren zur Herstellung eines Bauelements, das eine Gatestruktur über einem Halbleitersubstrat und ein dotiertes Gebiet aufweist, das in dem Halbleitersubstrat benachbart zu der Gatestruktur ausgebildet ist, wobei das Verfahren umfasst: Bilden einer ersten Schicht aus dielektrischem Material über der Gatestruktur und dem dotierten Gebiet; Bilden eines ersten Lochgebiets in der ersten Schicht aus dielektrischem Material über dem dotierten Gebiet; Bilden eines ersten leitenden Materials in dem ersten Lochgebiet, wobei das erste leitende Material, das in dem ersten Lochgebiet gebildet ist, elektrisch mit dem dotierten Gebiet in Verbindung steht; Bilden einer zweiten Schicht aus dielektrischem Material über der Gatestruktur, über dem in dem ersten Lochgebiet gebildeten ersten leitenden Material und über der ersten Schicht aus dielektrischem Material; Bilden eines zweiten Lochgebiets in der zweiten Schicht über dem ersten leitenden Material, das in dem ersten Lochgebiet ausgebildet ist; Bilden einer Metallverbindungsschicht über der zweiten Schicht; und Bilden eines zweiten leitenden Materials in dem zweiten Lochgebiet derart, dass eine elektrische Verbindung zwischen der Metallverbindungsschicht und dem dotierten Gebiet über das in dem ersten Lochgebiet ausgebildete erste leitende Material hergestellt wird.
  12. Verfahren nach Anspruch 11, wobei: Bilden der Metallverbindungsschicht umfasst: Bilden eines leitenden Metallmaterials über der zweiten Schicht aus dielektrischem Material; und Bilden des zweiten leitenden Materials in dem zweiten Lochgebiet umfasst: Bilden des leitenden Metallmaterials in dem zweiten Lochgebiet.
  13. Verfahren nach Anspruch 12, wobei Bilden des zweiten Lochgebiets umfasst: Bilden des zweiten Lochgebiets mit einem Aspektverhältnis von kleiner als 1 und Freilegen des ersten leitenden Materials, das in dem ersten Lochgebiet ausgebildet ist, vor dem Bilden der Metallverbindungsschicht.
  14. Verfahren nach Anspruch 12, wobei Bilden der Metallverbindungsschicht ferner umfasst: Bilden einer dritten Schicht aus dielektrischem Material nach dem Bilden des zweiten Lochgebiets; und Entfernen eines Bereichs der dritten Schicht aus dielektrischem Material, so dass ein drittes Lochgebiet über dem zweiten Lochgebiet erzeugt wird, bevor das leitende Metallmaterial gebildet wird, wobei Bilden des zweiten leitenden Materials umfasst: Bilden des leitenden Metallmaterials gleichzeitig in dem zweiten Lochgebiet und in dem dritten Lochgebiet.
  15. Verfahren nach Anspruch 11, das ferner umfasst: Einebnen der ersten Schicht aus dielektrischem Material zur Erzeugung einer ebenen Oberfläche, die zu der Gatestruktur ausgerichtet ist, bevor das erste Lochgebiet gebildet wird.
  16. Verfahren nach Anspruch 15, wobei Bilden des ersten leitenden Materials in dem ersten Lochgebiet umfasst: konformes Abscheiden des ersten leitenden Materials über der ebenen Oberfläche; und Einebnen des ersten leitenden Materials derart, dass eine zweite ebene Oberfläche ausgerichtet zu der Gatestruktur erhalten wird, bevor die zweite Schicht aus dielektrischem Material gebildet wird.
  17. Verfahren nach Anspruch 16, wobei Bilden der zweiten Schicht aus dielektrischem Material umfasst: konformes Abscheiden der zweiten Schicht aus dielektrischem Material über der zweiten ebenen Oberfläche bis zu einer Dicke von weniger als ungefähr 50 nm.
  18. Verfahren nach Anspruch 17, wobei Bilden der Metallverbindungsschicht umfasst: konformes Abscheiden einer dritten Schicht aus dielektrischem Material nach dem Bilden des zweiten Lochgebiets; Bilden eines dritten Lochgebiets in der dritten Schicht aus dielektrischem Material über zumindest einem Teil des zweiten Lochgebiets; und konformes Abscheiden eines leitenden Metallmaterials über der dritten Schicht aus dielektrischem Material, wobei das leitende Metallmaterial gleichzeitig das zweite Lochgebiet und das dritte Lochgebiet ausfüllt, so dass das zweite leitende Material in dem zweiten Lochgebiet gebildet wird.
  19. Bauelement mit: einem Halbleitersubstrat; einer Gatestruktur über dem Halbleitersubstrat; einem dotierten Gebiet, das in dem Halbleitersubstrat in der Nahe der Gatestruktur ausgebildet ist; einem leitenden Kontakt über dem dotierten Gebiet, der eine Höhe aufweist, die im Wesentlichen gleich einer Höhe der Gatestruktur ist; einem ersten dielektrischen Material, das zwischen dem leitenden Kontakt und der Gatestruktur ausgebildet ist; einem zweiten dielektrischen Material über dem ersten dielektrischen Material und über der Gatestruktur; und einer Metallverbindungsschicht über dem zweiten dielektrischen Material, wobei die Metallverbindungsschicht ein leitendes Metallmaterial aufweist und wobei zumindest ein Bereich des leitenden Materials in dem zweiten dielektrischen Material über dem leitenden Kontakt ausgebildet ist und das leitende Metallmaterial den leitenden Kontakt so kontaktiert, dass eine elektrische Verbindung zwischen der Metallverbindungsschicht und dem dotierten Gebiet erzeugt ist.
  20. Bauelement nach Anspruch 19, wobei eine Dicke des zweiten dielektrischen Materials kleiner als 50 nm ist.
DE102011085203.4A 2010-12-14 2011-10-26 Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten Expired - Fee Related DE102011085203B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/968,068 US8951907B2 (en) 2010-12-14 2010-12-14 Semiconductor devices having through-contacts and related fabrication methods
US12/968,068 2010-12-14

Publications (2)

Publication Number Publication Date
DE102011085203A1 true DE102011085203A1 (de) 2012-06-14
DE102011085203B4 DE102011085203B4 (de) 2018-08-23

Family

ID=46144822

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102011085203.4A Expired - Fee Related DE102011085203B4 (de) 2010-12-14 2011-10-26 Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten

Country Status (6)

Country Link
US (1) US8951907B2 (de)
KR (1) KR101331250B1 (de)
CN (2) CN102543848A (de)
DE (1) DE102011085203B4 (de)
SG (2) SG182041A1 (de)
TW (1) TWI462188B (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8507375B1 (en) * 2012-02-02 2013-08-13 GlobalFoundries, Inc. Alignment tolerant semiconductor contact and method
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US9640444B2 (en) 2014-07-23 2017-05-02 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9412659B1 (en) * 2015-01-29 2016-08-09 Globalfoundries Inc. Semiconductor structure having source/drain gouging immunity
US10153351B2 (en) 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US11482495B2 (en) * 2018-11-30 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement and method for making
KR20210111396A (ko) 2020-03-02 2021-09-13 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN113555437A (zh) * 2020-04-26 2021-10-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287961B1 (en) 1999-01-04 2001-09-11 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
DE102007057682A1 (de) 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3500308B2 (ja) 1997-08-13 2004-02-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 集積回路
TW374946B (en) * 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
US6080661A (en) * 1998-05-29 2000-06-27 Philips Electronics North America Corp. Methods for fabricating gate and diffusion contacts in self-aligned contact processes
JP2000286254A (ja) * 1999-03-31 2000-10-13 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2001077212A (ja) * 1999-08-31 2001-03-23 Toshiba Corp 半導体装置及びその製造方法
KR100341663B1 (ko) * 1999-09-27 2002-06-24 윤종용 사진공정이 감소된 반도체 장치의 비트라인 콘택홀을 형성하는 방법
US6300201B1 (en) * 2000-03-13 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Method to form a high K dielectric gate insulator layer, a metal gate structure, and self-aligned channel regions, post source/drain formation
JP2001338978A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6465294B1 (en) * 2001-03-16 2002-10-15 Taiwan Semiconductor Manufacturing Company Self-aligned process for a stacked gate RF MOSFET device
US20030060037A1 (en) * 2001-09-27 2003-03-27 Joseph Wu Method of manufacturing trench conductor line
KR100444306B1 (ko) * 2001-12-31 2004-08-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US6743712B2 (en) * 2002-07-12 2004-06-01 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
JP2004095611A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置およびその製造方法
US6673718B1 (en) 2002-11-27 2004-01-06 Samsung Electronics Co., Ltd. Methods for forming aluminum metal wirings
TWI250558B (en) * 2003-10-23 2006-03-01 Hynix Semiconductor Inc Method for fabricating semiconductor device with fine patterns
KR100562675B1 (ko) * 2003-11-04 2006-03-20 주식회사 하이닉스반도체 반도체 소자의 제조방법
TWI242797B (en) * 2004-06-01 2005-11-01 Nanya Technology Corp Method for forming self-aligned contact of semiconductor device
JP2006019480A (ja) * 2004-07-01 2006-01-19 Nec Electronics Corp 半導体装置の製造方法
KR100621630B1 (ko) * 2004-08-25 2006-09-19 삼성전자주식회사 이종 금속을 이용하는 다마신 공정
KR100630749B1 (ko) * 2005-05-20 2006-10-02 삼성전자주식회사 반도체 소자의 제조방법
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
KR100741882B1 (ko) * 2005-12-29 2007-07-23 동부일렉트로닉스 주식회사 고전압 소자 및 그 제조방법
US7365009B2 (en) * 2006-01-04 2008-04-29 United Microelectronics Corp. Structure of metal interconnect and fabrication method thereof
US20070257323A1 (en) * 2006-05-05 2007-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked contact structure and method of fabricating the same
KR100763514B1 (ko) 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
JP4575400B2 (ja) * 2007-05-08 2010-11-04 株式会社東芝 半導体装置の製造方法
KR100830591B1 (ko) * 2007-06-07 2008-05-22 삼성전자주식회사 개구부들을 포함하는 반도체 소자의 형성 방법
JP2009158591A (ja) * 2007-12-25 2009-07-16 Nec Electronics Corp 半導体装置およびその製造方法
JP2010021295A (ja) 2008-07-09 2010-01-28 Nec Electronics Corp 半導体装置およびその製造方法
US8058137B1 (en) * 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20100308380A1 (en) * 2009-06-05 2010-12-09 International Business Machines Corporation Dual damascene processing for gate conductor and active area to first metal level interconnect structures
JP5671220B2 (ja) * 2009-08-25 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8277674B2 (en) * 2009-12-15 2012-10-02 United Microelectronics Corp. Method of removing post-etch residues

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287961B1 (en) 1999-01-04 2001-09-11 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
DE102007057682A1 (de) 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement

Also Published As

Publication number Publication date
CN102543848A (zh) 2012-07-04
KR101331250B1 (ko) 2013-11-20
KR20120066584A (ko) 2012-06-22
DE102011085203B4 (de) 2018-08-23
TWI462188B (zh) 2014-11-21
TW201225183A (en) 2012-06-16
US20120146106A1 (en) 2012-06-14
SG10201400672TA (en) 2014-05-29
SG182041A1 (en) 2012-07-30
CN105374672A (zh) 2016-03-02
US8951907B2 (en) 2015-02-10

Similar Documents

Publication Publication Date Title
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102019201354A1 (de) Gate-Schnitt-Struktur mit Liner-Abstandshalter und zugehöriges Verfahren
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102020101247B4 (de) Tiefe grabenisolationsstruktur und verfahren zu deren herstellung
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102013108518B4 (de) Halbleitervorrichtung und verfahren zum herstellen derselben
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE112005001961T5 (de) Integrierte Hartmaske mit niedrigem K-Wert
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102010038745A1 (de) Teststruktur für Prüfung von Zwischenschichtdielektrikumshohlräumen und Kontaktwiderstandsmessungen in einem Halbleiterbauelement
DE102013103976A1 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102014108790B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit Vorrichtungstrennungsstrukturen und Halbleitervorrichtung
DE102014110450B4 (de) Integrierte Schaltung und Verfahren zum Herstellen einer integrierten Schaltung
DE102019104627A1 (de) Halbleiter-Bauelement mit selbstjustierten Durchkontaktierungen
DE102017120571A1 (de) Verfahren zum reduzieren der kontakttiefenschwankung bei der halbleiterherstellung
DE102012201586A1 (de) Integrierte Schaltungen mit platzsparenden Kondensatoren und Verfahren zu deren Herstellung
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee