CN1985335A - 双层抗蚀剂等离子体蚀刻方法 - Google Patents

双层抗蚀剂等离子体蚀刻方法 Download PDF

Info

Publication number
CN1985335A
CN1985335A CNA2005800223390A CN200580022339A CN1985335A CN 1985335 A CN1985335 A CN 1985335A CN A2005800223390 A CNA2005800223390 A CN A2005800223390A CN 200580022339 A CN200580022339 A CN 200580022339A CN 1985335 A CN1985335 A CN 1985335A
Authority
CN
China
Prior art keywords
etching
chamber
plasma
gas
sicl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800223390A
Other languages
English (en)
Other versions
CN1985335B (zh
Inventor
W·阮
C·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1985335A publication Critical patent/CN1985335A/zh
Application granted granted Critical
Publication of CN1985335B publication Critical patent/CN1985335B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供一种用于在等离子体蚀刻室中对在衬底上所限定的双层抗蚀剂进行蚀刻的方法。该方法开始于将具有在双层抗蚀剂的第一层上所定义的图案的衬底导入蚀刻室中。然后,使SiCl4气体流入蚀刻室。接下来,在使SiCl4流入时在蚀刻室中轰击等离子体。然后蚀刻双层抗蚀剂。

Description

双层抗蚀剂等离子体蚀刻方法
背景技术
本发明涉及一种用于在半导体器件的制造过程中对双层抗蚀剂进行等离子体蚀刻的改善的方法。
当今的集成电路芯片的性能与集成电路中的晶体管以及配线互连的尺寸有关。随着晶体管及配线互连的尺寸持续缩小,利用光刻图案化较小特征的能力已成为驱动集成电路产业成功的主要因素。
光刻工艺涉及使用光刻成像工具和光致抗蚀剂。光刻成像可达的最小分辨率由曝光波长的最小分辨率和由光刻成像工具所使用的透镜系统的分辨能力或数值孔径所决定。对于较大的数值孔径的较短的曝光波长通常导致高分辨率,以便在抗蚀剂膜中印刷更小的图案。然而,降低波长或提高数值孔径通常导致聚焦深度(DOF)的降低,因此需要减小光致抗蚀剂膜的厚度。
仅仅减小抗蚀剂膜的厚度来提高分辨率在某种程度上是有效的。当抗蚀剂变得太薄而无法承受将抗蚀剂图案转移到抗蚀剂膜之下的一层或多层的后续蚀刻工艺时,达到该程度。为了克服这些问题,双层抗蚀剂已得以发展而延伸到光刻技术。双层抗蚀剂膜典型地包括被设置在晶片或衬底上的比较厚的下抗蚀剂层(亦被称为掩模或平坦化层)和被设置在下抗蚀剂层的顶部的比较薄的上抗蚀剂层或成像层。通过曝光并接着被显影来图案化上抗蚀剂层。所形成的上层图案被用作蚀刻下抗蚀剂层的掩模。以这种方式,可以在双层抗蚀剂膜中形成具有高纵横尺寸比的抗蚀剂图案。
当双层抗蚀剂经历下抗蚀剂层蚀刻工艺时,为了给上抗蚀剂层提供足够的抗蚀力,典型地将硅掺和到上抗蚀剂层中。由于下抗蚀剂层典型地由有机聚合物制成,所以经常使用基于氧的等离子体蚀刻下抗蚀剂层,而使用图案化的上抗蚀剂层作为掩模。因此,虽然下抗蚀剂层在基于氧的等离子体中受到蚀刻,但上抗蚀剂层中的硅先驱体被氧化以在蚀刻工艺期间形成耐火氧化物。耐火氧化物起蚀刻阻挡层的作用,导致上抗蚀剂层的增强的抗蚀力。然而,已经发现以此方式所达到的增强在许多应用中并不是足够的。
下抗蚀剂蚀刻工艺不仅需要上抗蚀剂层与下抗蚀剂层之间的好的蚀刻对比、而且需要是各向异性的以达到好的临界尺寸(CD)控制。然而,利用纯氧等离子体,只有当在蚀刻工艺期间使抗蚀剂层的温度保持在约-100℃或以下时才能达到各向异性蚀刻。否则,经常会观察到指示CD损耗的横向蚀刻或钻蚀。虽然为了改善各向异性蚀刻可以添加二氧化硫(SO2)到基于氧的化学品,但是横向蚀刻或钻蚀在环境温度条件时仍会发生。此外,使用二氧化硫气体易于造成用于下抗蚀剂层蚀刻工艺的设备的腐蚀。由于在常规的等离子体工艺中典型地并不使用二氧化硫,所以添加二氧化硫可能需要改变在常规的集成电路制造工艺中所用的等离子体处理设备。
鉴于前述,需要一种在蚀刻双层抗蚀剂时提供可代替的各向异性蚀刻条件的方法与设备。
发明内容
大体上说,本发明通过引入一种用于提供蚀刻双层抗蚀剂用的各向异性蚀刻条件的方案来满足所述需要。应该理解的是:可通过包括工艺或方法的多种方式实施本发明。以下说明本发明的多个发明实施例。
本发明提供一种用于在等离子体蚀刻室中对被限定在衬底上的双层抗蚀剂进行蚀刻的方法。本方法开始于将具有在双层抗蚀剂的第一层上所定义的图案的衬底导入到蚀刻室中。接着,使SiCl4气体流入到蚀刻室。其次,在使SiCl4气体流入时,蚀刻室中轰击等离子体。接着蚀刻双层抗蚀剂。
本发明也提供一种在蚀刻室中的双层抗蚀剂蚀刻期间控制临界尺寸偏差的方法。本方法开始于在使SiCl4气体流入到所述室中时在蚀刻室中轰击基于氧的等离子体。接着,使等离子体密度保持在约1×109/cm3和约1×1012/cm3之间。然后蚀刻双层抗蚀剂。
应理解的是:前述的概括说明与以下的详细说明是例示性且仅为说明之用,而不是如权利要求书所述的那样是对本发明的限制。
附图说明
组成本说明书一部分的所包含的附图示出本发明的示例性实施例,并且与说明一起用于解释本发明的原理。
图1是在形成浅槽隔离特征之前在硅衬底上的层堆叠的示意图。
图2是浅槽蚀刻工艺的示意图,其中光致抗蚀剂与BARC层已被移除且氮化硅与焊盘氧化物层已被蚀刻贯穿。
图3是被蚀刻到硅衬底中的浅槽隔离特征的示意图。
图4是具有弯曲的轮廓及分槽的底部的浅槽隔离特征的显微照片。
图5是利用含硅的气体蚀刻的浅槽隔离特征的显微照片。
图6是具有顶与底倒园的浅槽隔离特征的显微照片。
图7是在进行栅极蚀刻之前其上具有层堆叠的硅衬底的示意图。
图8是其上具有蚀刻贯穿到栅极氧化物的氮化硅与多晶硅层的硅衬底的示意图。
图9A是在过蚀刻步骤期间在蚀刻气体混合物中未使用含硅的气体的情况下的蚀刻轮廓的简化示意图。
图9B为具有CD控制的蚀刻栅极特征的显微照片。
图10是示出已利用含硅的气体加以蚀刻而用于减少由不同的掺杂区域所引起的蚀刻速率差异的双掺杂的栅极结构的简化的剖面示意图。
图11是被配置以在过蚀刻工艺期间提供含硅的气体的蚀刻室的简化示意图。
图12是示出被设置在衬底上的双层抗蚀剂的各层的简化示意图。
图13A示出由于在蚀刻操作期间使用二氧化硅而在下光致抗蚀剂层中发生的刻痕(notching)与钻蚀(undercutting)。
图13B示出根据本发明的一个实施例在蚀刻操作期间采用所通入的SiCl4气体对钻蚀与刻痕的消除。
图14A及14B示出与图13A及13B相对应的显微图,其示出根据本发明的一个实施例的与SO2相比使用SiCl4气体的有效性。
图15是示出根据本发明的一个实施例的用于在等离子体蚀刻室中对在衬底上所限定的双层抗蚀剂进行蚀刻的方法的流程图。
具体实施方式
现在参照附图详细说明本发明的数个示例性实施例。在以下说明中,为了彻底了解本发明而提出众多的特定细节。然而,本领域技术人员应理解的是:可以在无某些或所有这些特定细节的情况下实现本发明。在其它情况下,为了避免不必要地使本发明不清楚,不再详细说明熟知的工艺操作。图1至11说明将硅用于浅槽隔离蚀刻应用与栅极蚀刻应用。图12至15说明针对将SiCl4用于蚀刻双层光致抗蚀剂的实施例。
许多等离子体蚀刻应用取决于钝化层的产生以获得预期的特征轮廓。轮廓控制的主要机制涉及蚀刻和沉积反应的平衡。通常通过反应室参数(例如输入功率、压力、及气体流量)直接控制蚀刻反应。在硅晶片的等离子体蚀刻中,由于沉积机制受间接控制,蚀刻反应产物为主要沉积源。
就浅槽与栅极应用而言,使用各种蚀刻气体化学品。例如,当使用HBr-O2蚀刻气体化学品时,则钝化层主要是由SixBryOz所构成。就Cl2-O2蚀刻气体化学品而言,钝化层主要是由SixClyOz所构成。钝化层的其它成分可以包括N、C、H和F。另外,由于蚀刻硅晶片和/或例如石英成分等室材料,将挥发性硅蚀刻副产物结合到钝化层中。
如上所述,由于蚀刻例如硅晶片和/或室材料等硅源,可以将硅结合到钝化层中。这种硅源是不受蚀刻气体化学品直接控制的次要产物。另外,将挥发性硅蚀刻副产物从晶片表面向抽真空端口输送时,具有将含硅的副产物沉积在晶片表面上的限定的可能性。这可能导致跨越晶片的不均匀的硅副产物浓度且导致蚀刻的特征轮廓与临界尺寸的不均匀性。
可以在各种等离子体反应器中产生等离子体。这种等离子体反应器典型地具有能量源,其利用RF能量、微波能量、磁场等等产生用于形成高密度等离子体的介质。例如,可以在从Lam ResearchCorporation(兰姆研究公司)可得到的也被称为电感耦合等离子体反应器的变压耦合等离子体(TCPTM)、电子回旋共振(ECR)等离子体反应器、螺旋式等离子体反应器等中产生高密度等离子体。能够提供高密度等离子体的高流量等离子体反应器的例子在共同所有的美国专利No.5,820,261中公开。也可以在平行板蚀刻反应器(例如共同所有的美国专利No.6,090,304中所述的双重频率等离子体蚀刻反应器)中产生等离子体。
可以在通过连接至反应器的壁中的出口的真空泵被保持在所希期的真空压力的电感耦合等离子体反应器中执行工艺。通过从气体供给源将气体供应给在介电窗口的下侧周围延伸的风室而能够将蚀刻气体供应到莲蓬头或注入器装置。通过从RF源将RF能量供应到外部的RF天线(例如在反应器顶部的介电窗口外侧具有一匝或者多匝的平面螺旋线圈)而能够在反应器中产生高密度等离子体。等离子体产生源可以是可移除地以真空气密方式被安装在反应器的上端部的模块化安装装置的一部分。
晶片在反应器内可以被支撑在衬底支撑件、例如从反应器的侧壁可移除地由模块化安装装置所支撑的悬臂夹头装置上。这种衬底支撑件位于以悬臂方式安装的支撑臂的一端,使得通过使组件穿过反应器的侧壁中的开口而能够从反应器移去整个衬底支撑件/支撑臂组件。衬底支撑件包括夹持设备(例如静电夹头(ESC))并且衬底可以被介电聚焦环围绕。夹头可以包括RF偏压电极,用以在蚀刻工艺期间给衬底施加RF偏压。由气体供给源所供应的蚀刻气体可以流经窗口与下面的气体分配板(GDP)之间的通道并且经由GDP中的气体出口进入蚀刻室的内部。反应器也可以包括从GDP延伸的受热衬垫。
也可在平行板等离子体反应器中执行该工艺,所述平行板等离子体反应器包括通过连接至反应器的壁中的出口的真空泵被保持为预期的真空压力的内部。通过从气体供给源来供应气体可以将蚀刻气体供应给莲蓬头电极,并且通过从一个或多个RF源将RF能量供应给莲蓬头电极及/或底部电极可以在反应器中产生介质密度等离子体。可代替地,可以使莲蓬头电极电接地且将处于两个不同频率的RF能量供应给底部电极。
本领域技术人员应清楚地了解到:各种气体的流量取决于以下因素,例如等离子体反应器的种类、功率设定值、反应器中的真空压力、等离子体源的离解率等等。
优选地,使反应器压力保持在适用于维持反应器中的等离子体的水平。一般而言,过低的反应器压力可能导致等离子体熄灭;反之,在高密度蚀刻反应器中,过高的反应器压力可能导致蚀刻停止问题。就高密度等离子体反应器而言,反应器优选地处于100mTorr以下的压力。
优选地,支撑经历蚀刻的半导体衬底的衬底支撑件使衬底充分冷却以防止有害的副反应,例如在衬底上的任何光致抗蚀剂的燃烧和所不期望的反应气体自由基的形成。在高和中等高密度等离子体反应器中,使衬底支撑件冷却到-10至+80V℃的温度就足够了。衬底支撑件可以包括用以在其处理期间将RF偏压供应给衬底的底部电极和用以夹持衬底的ESC。例如,衬底可以包括硅晶片,其中以静电方式夹持并且通过以预期的压力在晶片与ESC的上表面之间供应氦(He)来冷却所述硅晶片。为了使晶片保持在预期的温度,可以在晶片与夹头之间的空间内使He保持在10至30Torr的压力。
图1至3示出可以如何将浅槽蚀刻到硅层中的示意图。如图1所示,硅衬底10包括其上的层堆叠,包括100的焊盘氧化物12、1500厚的氮化硅层14、600厚的底部抗反射涂层(BARC)16、及3200厚的光致抗蚀剂层18,所述光致抗蚀剂层已事先被图案化以提供开口20。在硅晶片上蚀刻浅槽时,光致抗蚀剂18包括许多对应于预期的槽位置的开口20。在开口20的位置处使氮化硅层14敞开以形成图案化的硬掩模。
在使硬掩模14开口时,利用等离子体蚀刻使BARC层16敞开。在示例性的BARC敞开步骤中,所述室可以处于5mTorr的真空压力且被用于以电感方式将射频能量耦合到所述室中的天线可以被设定为350瓦特。衬底支撑件可以包括电极,所述电极通过供以电极88瓦特的能量来提供RF偏压。利用50sccm的CF4进行等离子体蚀刻60秒同时保持晶片的温度为约60℃来使BARC敞开。其次,在将所述室设定为相同的压力但是提高天线功率至1000瓦特的情况下使氮化硅层14与焊盘氧化物12敞开来形成开口22。在保持晶片温度为约60℃时可以利用70sccm的CHF3与300sccm的Ar蚀刻氮化硅层44秒。之后,利用10mTorr的室压力及供以天线的1000瓦特来剥除光致抗蚀剂与BARC。可以利用200sccm的O2 45秒来剥除光致抗蚀剂。
由于剥除步骤,BARC与光致抗蚀剂层已被去除并且暴露的硅的区域已被O2等离子体氧化。在示例性的工艺中,将所述室设定在5mTorr并且将350瓦特功率供应给天线。在保持晶片温度为约60℃时,利用50sccm的CF4蚀刻氧化硅7秒。其次,可以在室压力被设定为50mTorr并且1000瓦特功率被供应给天线的情况下蚀刻硅衬底。在保持晶片温度为约60℃时,底部电极可以被供应约220瓦特功率并且蚀刻气体可以包括125sccm的Cl2、14sccm的O2和14sccm的N2。为了提供所预期的倒圆和/或轮廓和/或CD控制,也可将含硅的气体(例如SiCl4)添加到蚀刻气体。在形成如图3所示的槽结构24之后,可以利用2分钟的HF浸泡、接着的去离子水旋转冲洗来清洗晶片。
图4是利用125sccm的Cl2且未添加SiCl4到蚀刻气体所形成的槽结构的显微照片。如图4所示,槽具有弯曲的轮廓和分槽的底部。通过将含硅的气体添加到蚀刻气体可以获得改善的临界尺寸(CD)与轮廓控制。图5是在浅槽蚀刻期间使用含硅的气体时所形成的槽结构的显微照片。图5中所示的槽结构是利用75sccm的Cl2与25sccm的SiCl4蚀刻而成的。图6是由于在浅槽蚀刻期间使用含硅的气体而具有圆形的顶部和底部的锥形槽的显微照片。
图7和8为栅极蚀刻的示意图。如图7所示,硅晶片30包括其上的层堆叠,包括15厚的氧化物层32、1500厚的多晶硅层34、200厚的氮化硅层36、和2000厚的光致抗蚀剂层38,其中所述光致抗蚀剂层已被图案化以便在对应于栅极蚀刻的位置中包括开口40。应该理解的是:阻挡层并非局限于氮化硅。例如,本领域技术人员应理解的是:在栅极应用中的硬掩模为二氧化硅(SiO2)或氮氧化硅(SiOxNy)。此外,阻挡层的厚度可以为300、或任一其它适当的厚度。虽然图7中示出单一开口40,但在晶片上蚀刻栅极结构中,具有在对应于栅极的所希期的位置的许多开口40。
栅极蚀刻的示例性工艺如下所述。一开始,在将室压力设定为15mTorr且将天线功率设定为400瓦特的情况下执行清理焊缝步骤。清理焊缝步骤所用的蚀刻气体可以包括30sccm的HBr与10sccm的O2。接着,在将所述室设定为10sccm的CF4的情况下蚀刻氮化硅层36,以便对应于开口40在氮化硅层36中设置开口。接着,在四个步骤中蚀刻多晶硅,所述四个步骤包括贯穿步骤、第一主蚀刻、第二主蚀刻和过蚀刻。在贯穿步骤中,由于某些化学品(例如HBr)无法轻易或均匀地蚀刻SiO2,所以蚀刻存在于硅表面的天然的氧化物。本领域技术人员应清楚地了解到:在化学品含有CF4的情况下,不需要采用贯穿步骤。在第一主蚀刻中,将所述室设定在10mTorr并且将天线设定为800瓦特功率。蚀刻气体包括50sccm的Cl2、175sccm的HBr、60sccm的CF4和5sccm的O2。在第二主蚀刻中,将所述室设定在30mTorr的HBr并且将天线设定在350瓦特。第二主蚀刻所用的蚀刻气体包括300sccm的HBr和3sccm的O2。在过蚀刻中,将所述室设定在80mTorr并且对天线供应500瓦特的功率。过蚀刻中的蚀刻气体包括130sccm的HBr、4sccm的O2及约270sccm的He。可以将含硅的气体(例如SiCl4)添加给第一或第二主蚀刻和/或过蚀刻来改善栅极轮廓控制和在内部晶片CD控制。在多晶硅蚀刻之后,如图8所示,开口42延伸到栅极氧化物32。
图9A是在过蚀刻步骤期间在蚀刻气体混合物中未使用含硅的气体的情况下蚀刻轮廓的简化示意图。蚀刻的栅极特征102a至102c包括蚀刻栅极特征的基底处的刻痕100。在去除多晶硅从肋时、也即在过蚀刻步骤期间,为了在蚀刻工艺结束时保存栅极的完整性,在执行过蚀刻步骤时必须保持对氧化物的高选择性。如通常所知的那样,栅极氧化物凹陷为一种现象,通过这种现象,在小的局部化区域中选择性地蚀刻栅极氧化物或栅极材料而造成对硅底层的蚀刻,由此产生凹陷。
图9B是根据本发明的一个实施例的通过将含硅的气体添加到过蚀刻工艺所获得的具有临界尺寸控制的蚀刻栅极特征的示例性显微照片。在硅蚀刻气体混合物中的含硅的气体增加侧壁钝化的量,以便减轻任何刻痕。因此,由于通过添加硅蚀刻气体所提供的侧壁钝化,所示的栅极特征102a至102c具有无刻痕的基底。在一实施例中,关于硬掩模的栅极应用,侧壁钝化主要由基于Si、O、X的材料组成,其中X为卤素或者卤素的混合物,例如溴、氯、氟等等。本领域技术人员应理解的是:卤素可以随蚀刻化学品而定。这里,最初的两个蚀刻步骤通过涉及蚀刻副产物的反应将硅从衬底结合到钝化层中。然而,在多晶硅蚀刻结束时,在过蚀刻步骤期间,由于待蚀刻的硅材料的耗尽而使基于硅的副产物耗尽。同时,蚀刻物质浓度增加。因此,在过蚀刻步骤期间产生很少的钝化,并且线基底处已有的钝化可能被蚀刻物质腐蚀而造成多晶硅线的刻痕。然而,在过蚀刻步骤期间硅的添加将取代通过受蚀刻的硅材料所事先提供的被耗尽的硅。
图10是示出受蚀刻的双掺杂栅极结构的简化剖面示意图。这里,栅极110由n型掺杂的多晶硅所组成,而栅极112由p型掺杂的多晶硅所组成。应该理解的是:在整个蚀刻工艺期间添加含硅的气体增强钝化层的形成,由此减小由在衬底上的不同掺杂的栅极结构所引起的差异。当蚀刻化学品变得对栅极氧化物更有选择性时,横向腐蚀的量也随着栅极蚀刻工艺的最后步骤期间的掺杂类型而异。因此,在硅蚀刻的第一步骤中所限定的轮廓在最终步骤时可能被改变,而导致在各个掺杂区域之间的轮廓与临界尺寸的差异,也即也被称为n/p轮廓负荷。因此,在另一实施例中,在蚀刻的最后步骤(例如过蚀刻步骤)中在气体混合物上对硅源的添加降低了不同类型的掺杂硅之间的蚀刻速率微负荷。此外,硅气体给线添加更大的钝化,由此减小各种类型的掺杂硅之间的横向蚀刻差异对轮廓定义的影响。
因此,在硅蚀刻期间在蚀刻气体混合物中添加含硅的气体将会消除特征的基底处的刻痕。此外,在过蚀刻步骤期间在蚀刻气体混合物中的含硅的气体将会提高对氧化物的选择性。另外,在硅蚀刻期间在蚀刻气体混合物中的含硅的气体将会减小存在于相同的衬底上的各种类型的掺杂硅之间的轮廓差异。也即,在硅蚀刻期间在蚀刻气体混合物中添加含硅的气体将会减小n型掺杂的、p型掺杂的、或无掺杂的线之间的临界尺寸偏差。
图11是被配置以在过蚀刻工艺期间提供含硅的气体的蚀刻室的简化示意图。蚀刻室120包括气体入口122、顶部电极124、静电夹头128,所述静电夹头支撑晶片或半导体衬底126。蚀刻室120也包括出口130,所述出口与用以使蚀刻室排气的泵处于流连通。工艺气体供给源132与气体入口122处于流连通。应该理解的是:增强钝化的气体(例如上述的含硅的气体)可以通过气体入口122被提供给蚀刻室。与蚀刻室120和工艺气体供给源132连通的控制器134可以被配置以检测钝化缺乏状况,也即过蚀刻工艺。响应于检测钝化缺乏状况,控制器可以触发工艺气体供给源132以供应含硅的氯体给蚀刻室120。应该理解的是:控制器134可以通过任一适当的终点检测钝化缺乏状况或者过蚀刻工艺的开始可以触发含硅的气体的供给源。另外,在一实施例中,控制器134是通用计算机。
将与所引入的含硅的气体(例如SiCl4)相关的参数总结在表格1中。
表格1
    压力     0.5-200mTorr
    功率     10-5000W
    SiCl4流量     0.1-300sccm
    温度     -77℃至200℃
应该理解的是:表格1是示例性而不意味着限制。也即,可以使用任何适当的含硅的气体。另外,根据所述室的配置,参数的范围可改变。
在一实施例中,当在过蚀刻步骤将SiCl4或另一适当的含Si的气体添加到气体混合物时,虽然仍蚀刻多晶硅,但是保护性沉积在栅极氧化物上发生。也即,使薄的SiOx层沉积在栅极氧化物或氮化的栅极氧化物上,其中所述薄的SiOx层结合Br或Cl或任一存在于所述室中的其它适当的元素。应该理解的是:也提供氧源来形成SiOx层。该薄的层所用的氧源可以来自于含氧气体的引入或容器中含氧组分(例如石英、氧化铝、受蚀刻的衬底的含氧组分等等)的存在。应该理解的是:由于薄的含硅氧化层的沉积,在多晶硅与氧化物之间的蚀刻速率选择性变得极大,也即不会对栅极氧化物进行蚀刻。因此,这导致改善的栅极完整性、也即如果有任何氧化物损耗的话也是最小量、更小的硅凹口,并且大大降低凹陷的机会。另外,由于工艺可以在没有改善的选择性的情况下否则会发生凹陷的区域中操作,增加的蚀刻速率选择性扩展工艺窗口。
在另一实施例中,为了提供钝化层所用的硅,硅的固体源可以包含在蚀刻室中。也即,在受蚀刻的硅基本被耗尽的过蚀刻工艺期间,硅的固体源提供钝化层所需要的硅以便防止刻痕。例如,蚀刻室的顶部电极可以包括固体硅源,所述固体硅源在过蚀刻步骤期间可以通过施加射频(RF)偏压给电极而被触发。
为了实现在此所述的关于用于蚀刻双层抗蚀剂的各向异性蚀刻条件的实施例,表格2示出与蚀刻室有关的处理参数。应该理解的是:表格2是示例性而不意味着限制。在使用从受托人可得到的Versys 2300蚀刻机时采用了表格2中所列的参数。当然,表格2中所列的范围可以根据执行蚀刻操作的机器的类型改变。
表格2
    压力     0.5-200mTorr
    顶部功率     10-5000W
    底部功率     200-300Vpk
    温度     20℃至70℃
    SiCl4流量     0.1-6sccm
    O2流量     100-200
    N2流量     50-200sccm
    Cl2流量     10-50sccm
    HBr流量     50-100sccm
关于在双层抗蚀剂蚀刻期间所采用的气体,一种适当的组合包括氧(O2)、氮(N2)、SiCl4、溴化氢(HBr)和氯(Cl2)的使用。氧的流量范围大约为100-200标准立方厘米/分钟(sccm)。在此,氧被用作蚀刻剂。在处理期间氮的流量在约50和200sccm之间。应该理解的是:氮有助于维持跨越衬底表面的一致性。溴化氢的示例性流量在约50和100sccm之间。溴化氢被用于侧壁钝化。氯的流量在约10和50sccm之间。在一实施例中,氯的流量大约为氧的流量的10%。也即,氧的流量与氯的流量的比为10∶1。采用氯帮助去除挥发性气体。SiCl4的流量在0.1和6sccm之间。根据在此所述的实施例,SiCl4气体被用以帮助保持CD。
在双层抗蚀剂蚀刻期间所使用的其它设定值包括在约3和15毫托(mTorr)之间的压力范围。可用于上电极的功率在约300至1000瓦特之间,而可用于下电极的峰值功率在约200和300伏特之间。如表格2所示,室温度在约20至70摄氏度之间。在一实施例中,使等离子体密度保持在每立方厘米1×109和每立方厘米1×1012之间。表格2中所示的压力与最高功率的设定值限定该等离子体密度。将离子能量保持在150和约400伏特之间,其中离子能量是被供应给底部电极的RF峰值功率的函数。
图12示出设置在衬底上的双层抗蚀剂的各层的简化示意图。这里,晶片150包括多晶硅层152、底部光致抗蚀剂层154和顶部光致抗蚀剂层156。顶部光致抗蚀剂层156接着被图案化并且显影。此后,蚀刻由第一光致抗蚀剂层154与第二光致抗蚀剂层156所构成的双层光致抗蚀剂以定义图案。典型地,顶部光致抗蚀剂层156包括硅,而底部光致抗蚀剂层是有机光致抗蚀剂。
图13A和13B分别示出在使用标准的多晶硅化学品与SiCl4气体情况下通过蚀刻双层光致抗蚀剂所定义的图案。图13A示出由于蚀刻操作期间的常规的化学品而在底部光致抗蚀剂层154中产生的刻痕与钻蚀。如图13A所示,由于刻痕与钻蚀而存在在受蚀刻的底部光致抗蚀剂层154之间所定义的临界尺寸的损耗,这又将影响随后对多晶硅层152的蚀刻。然而,图13B示出在蚀刻操作期间利用所引入的SiCl4气体对钻蚀与刻痕的消除。这里,对底部光致抗蚀剂层154与顶部光致抗蚀剂层156的蚀刻导致不损耗CD的蚀刻操作。
图14A和14B示出根据本发明的一个实施例的阐明使用SiCl4气体的有效性的与图13A和13B相对应的实际显微照片。如图14B所示,与图14A相比较,CD的损耗是最小的。图14A是在蚀刻操作期间使用标准的多晶硅化学品(HBr、O2、N2)的结果。图14B利用Cl2、HBr、O2、N2、和SiCl4气体进行蚀刻操作。应该理解的是:为了使CD损耗最小,SiCl4在蚀刻操作期间与O2形成为SiO2
图15是根据本发明的一个实施例示出用于在等离子体蚀刻室中蚀刻在衬底上所限定的双层抗蚀剂的方法的流程图。本方法开始于操作160,其中将具有在双层光致抗蚀剂的第一层上所定义的图案的衬底导入到蚀刻室中。本方法接着进行操作162,其中SiCl4气体连同表格2所列的气体的混合物一起流入蚀刻室中。如参照表格2所述,在一实施例中,SiCl4气体的流量在0.1和6sccm之间。本方法接着进行操作164,其中在使SiCl4气体流入蚀刻室中时,在蚀刻室中轰击基于氧的等离子体。这里,参照表格2所列的气体连同SiCl4气体一起流入蚀刻室中。在一实施例中,在蚀刻室中使等离子体密度保持在约每立方厘米1×109和每立方厘米1×1012之间。在另一实施例中,在蚀刻室中保持约150伏特和约400伏特之间的离子能量。本方法接着进行到操作166,其中根据这里所述的条件蚀刻双层抗蚀剂。
以上已说明本发明的原理、优选的实施例及操作模式。然而,本发明不应被理解为局限于所述的特定实施例。因此,应将上述实施例视为示例性的而非限制性的,并且应该理解的是:在不脱离所附的权利要求所限定的本发明范围的情况下,本领域技术人员可以在上述实施例中进行各种变化。
这里已经根据几个示例性实施例描述了本发明。本领域技术人员从对本发明的说明书和实践的考虑中将明白本发明的其它实施例。实施例和上述优选的特征应当被认为是示例性的,本发明由所述的权利要求限定。

Claims (19)

1.一种用于在等离子体蚀刻室中对在衬底上所限定的双层抗蚀剂进行蚀刻的方法,包含以下方法操作:
将具有在双层抗蚀剂的第一层上所定义的图案的衬底导入蚀刻室中;
使SiCl4气体流入蚀刻室中;
在使SiCl4气体流入时,在蚀刻室中轰击等离子体;和
蚀刻双层抗蚀剂。
2.如权利要求1所述的方法,其中使SiCl4气体流入蚀刻室中的方法操作包括:
使SiCl4气体以处于约0.1标准立方厘米/分钟(sccm)和6sccm之间的流量流入。
3.如权利要求1所述的方法,另外包括:
使氯气流入蚀刻室中;
使溴化氢气体流入所述室中;和
使惰性气体流入所述室中。
4.如权利要求3所述的方法,其中惰性气体是氮。
5.如权利要求1所述的方法,其中在使SiCl4气体流入时在蚀刻室中轰击等离子体的方法操作包括:
产生基于氧的等离子体。
6.如权利要求1所述的方法,其中在使SiCl4气体流入时在蚀该室中轰击等离子体的方法操作包括:
使等离子体密度保持在约1×109/cm3和约1×1012/cm3之间。
7.如权利要求1所述的方法,其中在使SiCl4气体流入时在蚀刻室中轰击等离子体的方法操作包括:
使离子能量保持在约150伏特和约400伏特之间。
8.如权利要求6所述的方法,其中使等离子体密度保持在约1×109/cm3和约1×1012/cm3之间的方法操作包括:
将室压力建立在约3毫托和约15毫托之间;和
将蚀刻室的顶部电极的功率水平设定在约300瓦特和约1000瓦特之间。
9.如权利要求7所述的方法,其中使离子能量保持在约150伏特和约400伏特之间的方法操作包括:
将底部电极的射频(RF)峰值电压建立在约200伏特和约300伏特之间。
10.如权利要求1所述的的方法,其中在使SiCl4气体流入时在蚀刻室中轰击等离子体的方法操作包括:
使室温度保持在约20摄氏度和约70摄氏度之间。
11.在蚀刻室中用于在双层抗蚀剂蚀刻期间控制临界尺寸偏差的方法,包含以下方法操作:
在使SiCl4气体流入室中时,在所述室中轰击基于氧的等离子体;
使等离子体密度保持在约1×109/cm3和约1×1012/cm3之间;和
蚀刻双层抗蚀剂的每一层。
12.如权利要求11所述的方法,其中在使SiCl4气体流入室中时在所述室中轰击基于氧的等离子体的方法操作包括:
使氯气流入蚀刻室中;
使溴化氢(HBr)气体流入所述室中;和
使惰性气体流入所述室中。
13.如权利要求11所述的方法,其中在使SiCl4气体流入室中时在所述室中轰击基于氧的等离子体的方法操作包括:
使SiCl4气体以处于约0.1标准立方厘米/分钟(sccm)和6sccm之间的流量流入所述室中。
14.如权利要求11所述的方法,另外包括:
使离子能量保持在约150伏特和约400伏特之间。
15.如权利要求14所述的方法,其中使离子能量保持在约150伏特和约400伏特之间的方法操作包括:
使底部电极的射频(RF)峰值电压建立在约200伏特和300伏特之间。
16.如权利要求11所述的方法,其中使等离子体密度保持在约1×109/cm3和约1×1012/cm3之间的方法操作包括:
使与顶部电极相关的功率水平保持在约300瓦特和约1000瓦特之间。
17.如权利要求12所述的方法,另外包括:
使流入所述室的氧气的流量与流入所述室中的氯气的流量的比保持为约10∶1。
18.如权利要求12所述的方法,其中惰性气体的流量处于约50sccm和约200sccm之间,HBr气体的流量处于约50和约100sccm之间,并且氯气的流量处于约10sccm和约50sccm之间。
19.如权利要求11所述的方法,其中双层抗蚀剂包括被设置在第二层上的第一层,所述第一层含有硅。
CN2005800223390A 2004-06-30 2005-06-27 双层抗蚀剂等离子体蚀刻方法 Expired - Fee Related CN1985335B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/882,842 US7141505B2 (en) 2003-06-27 2004-06-30 Method for bilayer resist plasma etch
US10/882,842 2004-06-30
PCT/US2005/022809 WO2006004693A2 (en) 2004-06-30 2005-06-27 Method for bilayer resist plasma etch

Publications (2)

Publication Number Publication Date
CN1985335A true CN1985335A (zh) 2007-06-20
CN1985335B CN1985335B (zh) 2010-05-12

Family

ID=35783295

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800223390A Expired - Fee Related CN1985335B (zh) 2004-06-30 2005-06-27 双层抗蚀剂等离子体蚀刻方法

Country Status (8)

Country Link
US (1) US7141505B2 (zh)
EP (1) EP1774542A4 (zh)
JP (2) JP2008505497A (zh)
KR (1) KR101111924B1 (zh)
CN (1) CN1985335B (zh)
IL (1) IL180025A (zh)
TW (1) TWI284372B (zh)
WO (1) WO2006004693A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103367863A (zh) * 2012-04-09 2013-10-23 中国科学院上海微系统与信息技术研究所 一种集成宽频带天线及其制作方法
CN104303274A (zh) * 2012-06-15 2015-01-21 东京毅力科创株式会社 等离子体蚀刻方法及等离子体处理装置
CN107452611A (zh) * 2016-05-20 2017-12-08 Spts科技有限公司 用于等离子体蚀刻工件的方法及装置

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7361607B2 (en) * 2003-06-27 2008-04-22 Lam Research Corporation Method for multi-layer resist plasma etch
KR100707803B1 (ko) * 2005-10-28 2007-04-17 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
JP5248902B2 (ja) * 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
JP5344824B2 (ja) * 2008-01-31 2013-11-20 東京エレクトロン株式会社 レジストパターンの形成方法および記録媒体
US8124537B2 (en) * 2008-02-12 2012-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching integrated circuit structure
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
JP5607881B2 (ja) * 2008-12-26 2014-10-15 東京エレクトロン株式会社 基板処理方法
JP2010224471A (ja) * 2009-03-25 2010-10-07 Tokyo Electron Ltd マイクロレンズアレイの製造方法およびマイクロレンズアレイ
JP6050944B2 (ja) 2012-04-05 2016-12-21 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US10658194B2 (en) 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
CN108091559B (zh) * 2016-11-23 2020-08-21 北京北方华创微电子装备有限公司 一种蓝宝石衬底的刻蚀方法
US11527414B2 (en) 2020-08-18 2022-12-13 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
WO2022051113A1 (en) * 2020-09-03 2022-03-10 Lam Research Corporation Atomic layer etching of a semiconductor, a metal, or a metal oxide with selectivity to a dielectric

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778563A (en) * 1987-03-26 1988-10-18 Applied Materials, Inc. Materials and methods for etching tungsten polycides using silicide as a mask
US5186788A (en) * 1987-07-23 1993-02-16 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JPH02125611A (ja) * 1988-11-04 1990-05-14 Fujitsu Ltd レジストパターンの形成方法
EP0368732B1 (en) * 1988-11-04 1995-06-28 Fujitsu Limited Process for forming resist mask pattern
US5082524A (en) * 1990-07-30 1992-01-21 Micron Technology, Inc. Addition of silicon tetrabromide to halogenated plasmas as a technique for minimizing photoresist deterioration during the etching of metal layers
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JPH04364718A (ja) * 1991-06-12 1992-12-17 Fujitsu Ltd パターニング方法
JPH0555179A (ja) * 1991-08-27 1993-03-05 Fujitsu Ltd 多層レジストのパターニング方法
US5439780A (en) * 1992-04-29 1995-08-08 At&T Corp. Energy sensitive materials and methods for their use
JP3277652B2 (ja) * 1993-12-13 2002-04-22 ソニー株式会社 ドライエッチング方法
JP3348504B2 (ja) * 1994-02-28 2002-11-20 ソニー株式会社 ドライエッチング方法
JPH08213368A (ja) * 1995-02-08 1996-08-20 Nippon Telegr & Teleph Corp <Ntt> エッチング方法
KR100230981B1 (ko) * 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US6849557B1 (en) * 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6379869B1 (en) * 1999-03-31 2002-04-30 Infineon Technologies Ag Method of improving the etch resistance of chemically amplified photoresists by introducing silicon after patterning
US6491835B1 (en) * 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
US6403432B1 (en) * 2000-08-15 2002-06-11 Taiwan Semiconductor Manufacturing Company Hardmask for a salicide gate process with trench isolation
JP2002343767A (ja) * 2001-05-14 2002-11-29 Toshiba Corp パターン形成方法
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
JP4775834B2 (ja) * 2002-08-05 2011-09-21 東京エレクトロン株式会社 エッチング方法
CN1228820C (zh) * 2002-09-04 2005-11-23 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103367863A (zh) * 2012-04-09 2013-10-23 中国科学院上海微系统与信息技术研究所 一种集成宽频带天线及其制作方法
CN103367863B (zh) * 2012-04-09 2015-02-18 中国科学院上海微系统与信息技术研究所 一种集成宽频带天线及其制作方法
CN104303274A (zh) * 2012-06-15 2015-01-21 东京毅力科创株式会社 等离子体蚀刻方法及等离子体处理装置
CN107452611A (zh) * 2016-05-20 2017-12-08 Spts科技有限公司 用于等离子体蚀刻工件的方法及装置
CN107452611B (zh) * 2016-05-20 2023-02-28 Spts科技有限公司 用于等离子体蚀刻工件的方法及装置

Also Published As

Publication number Publication date
JP2013030778A (ja) 2013-02-07
KR101111924B1 (ko) 2012-02-17
IL180025A (en) 2011-06-30
KR20070032961A (ko) 2007-03-23
WO2006004693A2 (en) 2006-01-12
EP1774542A2 (en) 2007-04-18
WO2006004693A3 (en) 2006-05-04
IL180025A0 (en) 2007-05-15
TW200612494A (en) 2006-04-16
JP2008505497A (ja) 2008-02-21
CN1985335B (zh) 2010-05-12
EP1774542A4 (en) 2008-12-24
TWI284372B (en) 2007-07-21
US20050023242A1 (en) 2005-02-03
US7141505B2 (en) 2006-11-28

Similar Documents

Publication Publication Date Title
CN1985335B (zh) 双层抗蚀剂等离子体蚀刻方法
US7361607B2 (en) Method for multi-layer resist plasma etch
CN100405551C (zh) 在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法
US6235643B1 (en) Method for etching a trench having rounded top and bottom corners in a silicon substrate
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US6670278B2 (en) Method of plasma etching of silicon carbide
JP3409313B2 (ja) 酸化物及びフォトレジスト層に対して高度の選択性を有する異方性窒化物エッチング法
US7273566B2 (en) Gas compositions
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US7682980B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
JP2003511857A (ja) 一様な浅いトレンチ・エッチング・プロファイルのための方法
US20070037100A1 (en) High aspect ratio mask open without hardmask
JP2005136097A (ja) 半導体装置の製造方法
KR980012064A (ko) 단결성 실리콘 에칭 방법
JPH04282834A (ja) 半導体装置の製造方法
KR20040108015A (ko) 반도체 소자의 게이트 배선 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100512

Termination date: 20190627