CN1913128B - 双金属镶嵌金属布线图案的形成方法和形成的布线图案 - Google Patents

双金属镶嵌金属布线图案的形成方法和形成的布线图案 Download PDF

Info

Publication number
CN1913128B
CN1913128B CN2006101212510A CN200610121251A CN1913128B CN 1913128 B CN1913128 B CN 1913128B CN 2006101212510 A CN2006101212510 A CN 2006101212510A CN 200610121251 A CN200610121251 A CN 200610121251A CN 1913128 B CN1913128 B CN 1913128B
Authority
CN
China
Prior art keywords
layer
barrier metal
metal layer
opening
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006101212510A
Other languages
English (en)
Other versions
CN1913128A (zh
Inventor
李秉周
申宪宗
姜熙晟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1913128A publication Critical patent/CN1913128A/zh
Application granted granted Critical
Publication of CN1913128B publication Critical patent/CN1913128B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种形成双金属镶嵌金属布线图案的方法,其包括在集成电路衬底上形成第一金属布线图案;以及在第一金属布线图案上形成蚀刻停止层。这些步骤之后是在蚀刻停止层上形成电绝缘层并在电绝缘层上形成金属间介电层的步骤。通过依次选择性蚀刻金属间介电层和电绝缘层,在其中界定暴露出蚀刻停止层的第一部分的开口。开口可以包括沟槽和从沟槽的底部向下延伸的通孔。在通孔的侧壁上并直接在蚀刻停止层的第一部分上形成第一阻挡金属层。从蚀刻停止层的第一部分选择性地去除部分第一阻挡金属层。接着通过以充分的时间选择性地去除蚀刻停止层的第一部分,暴露出部分第一金属布线图案。在开口中形成第二金属布线图案以完成双金属镶嵌结构。

Description

双金属镶嵌金属布线图案的形成方法和形成的布线图案
技术领域
本发明涉及形成金属布线图案的方法,并更特别地,涉及一种使用双金属镶嵌技术形成金属布线图案的方法,以及由此形成的金属布线图案。
背景技术
集成电路器件中使用的金属布线图案通常是由铜(Cu)形成,因为铜具有相对低的电阻率,特别是与由像铝(Al)这样的其它材料形成的金属布线图案相比。这些金属布线图案通常是由金属间介电层彼此隔开。为了降低相邻金属布线图案之间的寄生电容并降低它们的RC延迟,采用具有相对低的介电常数值(即,低K介质)的介电层作为金属间介电层。
利用低K介质的金属镶嵌处理技术,被用来在集成电路衬底上界定铜布线图案。这些技术通常包括在第一铜布线图案上形成低K介电层,接着在低K介电层中形成暴露出第一铜布线图案的上表面的通孔和沟槽。然后用铜布线层填充这些通孔和沟槽,其中铜布线层可以使用电镀技术形成。接着可以使用例如化学机械抛光(CMP)这样的平坦化技术来将铜布线层平坦化为多个第二铜布线图案,并由此完成双金属镶嵌布线制造工艺。
图1A-1D显示了常规双金属镶嵌处理技术的示例。在图1A中,第一低K介电层10形成在衬底(例如,半导体衬底)上。可以对该第一低K介电层10进行构图,以在其中界定沟槽,该沟槽随后被下金属线12(例如,铜线)填充。如图所示,在该第一低K介电层10上形成蚀刻停止层14和电绝缘层16(例如,二氧化硅)。此后,如图1B所示,在电绝缘层16上形成第二低K介电层17。接着执行常规的构图和蚀刻步骤,以界定穿过第二低K介电层17、电绝缘层16和蚀刻停止层14延伸并暴露出下金属线12的通孔/沟槽18。如图所示,这些构图和蚀刻步骤可以形成在下金属线12中界定凹形的通孔18。本领域技术人员应理解,下金属线12中的凹形的形成和将该凹形暴露在包含氧气的环境中可能导致在下金属线12上形成氧化残留物(未示出)。通过将下金属线12暴露在包含有例如稀释HF(DHF)的湿法清洁溶液中,可以去除该氧化残留物。然而,暴露在湿法清洁溶液中也可能导致在有可能与清洁溶液反应的电绝缘层16中形成底切区20。
现在参考图1C,例如使用例如物理气相沉积(PVD)技术,执行在通孔18内沉积第一阻挡金属层的步骤。该第一阻挡金属层可以是具有从大约50
Figure S061C1251020060830D00002131147QIETU
到大约100
Figure S061C1251020060830D00002131203QIETU
范围内的厚度的氮化钽层。在后续的步骤中,该第一阻挡金属层用作铜扩散的阻挡。可惜,底切区20的存在可能妨碍在通孔18的侧壁上形成均匀的第一阻挡金属层。接着可以执行定向蚀刻步骤,用来从下金属线12的上表面去除部分的第一阻挡金属层,从而将第一阻挡金属层构图成侧壁阻挡部分22。这样从下金属线12上去除第一阻挡金属层,可能导致下金属线12和后续形成的铜插塞之间的接触电阻降低。
本领域技术人员应了解,为了使下金属线12的上表面暴露出来而对第一阻挡金属层进行定向蚀刻,可能导致在通孔18的下部侧壁上形成再溅射铜间隙壁24。由于底切区20的存在,侧壁阻挡部分22不足以保护它,来自铜间隙壁24的铜原子混入到第二低K介电层17中。铜原子这样掺杂进第二低K介电层17中可能增加形成在第二低K介电层17中的相邻金属线之间的漏电流。这样漏电流的增加可能通过增加第二低K介电层17中的时间依赖的介电击穿(TDDB),降低器件的可靠性。
现在参考图1D,接着使用例如物理气相沉积(PVD)在通孔18内共形地沉积第二阻挡金属层26。该第二阻挡金属层26可以是具有从大约40
Figure S061C1251020060830D00002131217QIETU
到大约200
Figure S061C1251020060830D00002131233QIETU
范围的厚度的粘附增强钽层(Ta)。然后可以在第二阻挡金属层26上沉积均厚铜仔晶层(未示出),接着用铜电镀填满通孔18。然后可以通过执行平坦化技术来在通孔18中界定上金属线28。
发明内容
本方面的实施例包括通过在集成电路衬底上形成第一金属布线图案(例如,铜布线图案)并在该第一金属布线图案上形成蚀刻停止层而形成集成电路的方法。这些步骤之后,在该蚀刻停止层上形成电绝缘层,并在该电绝缘层上形成金属间介电层。通过依次选择性地蚀刻该金属间介电层和该电绝缘层,在其中界定暴露出该蚀刻停止层的第一部分的开口。该开口可以包括沟槽和从该沟槽底部向下延伸的通孔。在该开口的侧壁上并直接在该蚀刻停止层的第一部分上形成第一阻挡金属层。从该蚀刻停止层的第一部分上选择性地去除部分该第一阻挡金属层。可以使用各向异性蚀刻步骤执行该选择性去除。接着以一段充分的时间选择性地蚀刻该蚀刻停止层的第一部分,以暴露出第一金属布线图案的一部分。在该蚀刻步骤中,第一阻挡金属层被用作蚀刻掩模。接着在该开口中形成第二金属布线图案(例如,上部铜布线图案),以完成双金属镶嵌结构。
按照这些实施例的其它的方面,在形成第二阻挡金属层的步骤之前执行在该开口的侧壁上和该第一金属布线图案的暴露部分上形成第二阻挡金属层的步骤。在第二阻挡金属层形成之后,接着进行通过在一段充分时间内选择性地蚀刻部分的第二阻挡金属层,暴露出部分的第一金属布线图案的步骤。
本发明更进一步的实施例包括通过在集成电路衬底上形成第一铜布线图案并在该第一铜布线图案上形成包含SiCN的蚀刻停止层而形成集成电路的方法。在该蚀刻停止层上形成具有从大约100到大约500
Figure S061C1251020060830D00003131303QIETU
范围的厚度的二氧化硅层,并在该二氧化硅层上形成包含SiCOH的金属间介电层。依次选择性地蚀刻该金属间介电层和该二氧化硅层,以在其中界定暴露出蚀刻停止层的第一部分的开口。在该开口的侧壁上并直接在该蚀刻停止层的第一部分上形成包含钽的第一阻挡金属层。从该蚀刻停止层的第一部分选择性地去除部分的该第一阻挡金属层。在充足的时间内对该蚀刻停止层的第一部分进行蚀刻,以暴露出该第一铜布线图案的上表面的一部分。在这个蚀刻步骤中,第一阻挡金属层被用为蚀刻掩模。接着形成在第一阻挡金属层、蚀刻停止层的侧壁以及第一铜布线图案的暴露部分上延伸的含有钽的第二阻挡金属层。通过选择性地去除该第二阻挡金属层来暴露出第一铜布线图案。在该第二阻挡金属层上并直接在该第一铜布线图案上形成包含钽的第三阻挡金属层。此后,用第二铜布线图案填充该开口,以完成双金属镶嵌铜互连结构。
附图说明
图1A-1D是显示形成集成电路的双金属镶嵌铜互连结构的传统方法的中间结构的截面图。
图2A-2H是显示按照本发明的实施例形成集成电路的双金属镶嵌铜互连结构的方法的中间结构的截面图。
图2A-2E和3是显示按照本发明的实施例形成集成电路的双金属镶嵌铜互连结构的方法的中间结构的截面图。
具体实施方式
现在将参考显示本方面优选实施例的附图更充分地描述本发明。然而,本发明可以以不同形式实现,不能解释为仅限于此处介绍的实施例;而是,提供这些实施例是以使本公开充分、完整并向本领域技术人员全面地转达本发明的范围。相似的附图标记贯穿全文表示相似的元件。
按照本发明的实施例的形成双金属镶嵌铜互连结构的方法包括在其中具有多个有源半导体器件(未示出)的半导体衬底203的主表面上形成第一介电层205。该第一介电层205可以是具有从大约到大约
Figure S061C1251020060830D000042
范围的厚度的低K介电层,例如SiCOH。此后,通过对该第一介电层205进行构图,在其中界定凹形/沟槽。该凹形/凹槽以下阻挡金属层207(例如,钽(Ta))作衬,并使用常规技术用下金属线210(例如,铜(Cu))填充该凹形/沟槽。可以执行化学机械抛光(CMP)步骤以对该下金属线210和该第一介电层205的上表面进行平坦化。接着在该第一介电层205上沉积蚀刻停止层212。该蚀刻停止层212可以具有从大约
Figure S061C1251020060830D000043
到大约范围的厚度,可以由比如SiCN这样的材料形成。可以使用例如化学气相沉积(CVD)技术,沉积该蚀刻停止层212。
仍参考图2A,在该蚀刻停止层212上形成第二层间介电层220。该第二层间介电层220包括可以由二氧化硅形成第一绝缘层214,和可以是低K介电层(例如,SiCOH层)的第二绝缘层216。该第一绝缘层214可以具有从大约
Figure S061C1251020060830D000045
到大约范围内的厚度,该第二绝缘层216可以具有从大约
Figure S061C1251020060830D000047
到大约范围内的厚度。在该第二层间绝缘层220上沉积硬掩模层225。该硬掩模层225可以是具有从大约
Figure S061C1251020060830D000049
到大约范围内的厚度的二氧化硅层。
现在参考图2B,接着在图2A显示的结构上执行多个选择性蚀刻和构图的步骤(例如,光刻界定的蚀刻步骤)。特别地,如图所示,通过选择性地蚀刻该硬掩模层225和该第二层间介电层220,在其中形成通孔230和沟槽235。通孔230可以是具有有限的横向尺寸的一般的圆柱体通孔230,但作为三维的沟槽图案(未示出)的该沟槽可以充分扩大并横向延伸。通过构造该蚀刻停止层212来在形成通孔230的选择性蚀刻过程中防止暴露出下金属线210。
现在参考图2C,接着在图2B显示的结构上共形地沉积第一阻挡金属层240。衬垫沟槽235和通孔230的侧壁的第一阻挡金属层240可以是钽层、氮化钽层或包含钽和氮化钽的复合物层。该第一阻挡金属层240可以具有从大约
Figure S061C1251020060830D000051
到大约的范围内的厚度。之后,如图2D所示,执行各向异性蚀刻步骤以选择性地蚀刻该第一阻挡金属层240的水平部分,并在通孔230和沟槽235的侧壁上界定第一阻挡金属层间隙壁240a。然后在选择性地去除蚀刻停止层212的暴露部分的蚀刻步骤中可以使用这些第一阻挡金属层间隙壁240a作为蚀刻掩模。这样去除该蚀刻停止层212的暴露部分,导致下金属线210的上表面218的一部分暴露出来。
可以使用湿法清洁工艺去除下金属线210的上表面218的暴露部分上的任何自生氧化物(native oxide)。该清洁工艺可以包括将表面218暴露在包含稀释氢氟酸(DHF)的清洁溶液中。在这个清洁工艺中,第一阻挡金属层间隙壁240a抑制第一绝缘层214被DHF横向化学蚀刻。可选地,可以使用溅射蚀刻技术从上表面218上去除任何自生氧化物。
现在参考图2E,接着在通孔230和沟槽235内共形地沉积第二阻挡金属层245,以覆盖并保护第二绝缘层216暴露的部分,并覆盖和保护蚀刻停止层212暴露的侧壁。该第二阻挡金属层245可以是钽层、氮化钽层或包含钽和氮化钽的复合物层。该第二阻挡金属层245可以具有从大约
Figure S061C1251020060830D000053
到大约
Figure S061C1251020060830D000054
的范围内的厚度。接着执行去除部分在下金属线210的上表面上延伸的第二阻挡金属层245的步骤。该去除步骤,可以是溅射蚀刻步骤,可能导致在第二阻挡金属层245的侧壁上部分去除并再溅射下金属线210,从而界定下金属线210的凹形的表面218a。下金属线210的这些再溅射部分,其可能具有从大约到大约的范围内的厚度,由附图标记210a表示。
现在参考图2F,接着在通孔230和沟槽235内共形地沉积第三阻挡金属层250,从而覆盖第二阻挡金属层245。该第三阻挡金属层250可以具有从大约
Figure S061C1251020060830D000057
到大约
Figure S061C1251020060830D000058
的范围内的厚度。该第三阻挡金属层250可以形成为钽或氮化钽层,然而,典型地优选钽,因为它具有比氮化钽更低的电阻率。
最后,如图2G-2H所示,接着在图2F的结构上沉积金属化层255,从而完全填充通孔230和沟槽235。可以通过自通孔230中形成的铜晶籽层(未示出)电镀铜来沉积该金属化层255。在可选的实施例中,可以使用化学气相沉积(CVD)和/或物理气相沉积(PVD)技术形成金属化层255。接着通过平坦化金属化层255来在沟槽235中界定沿第三维(未示出)延伸的上金属线255b,并在通孔230中界定金属插塞255a。可以通过对该金属化层255进行充分时间的化学机械抛光执行该平坦化步骤,以暴露出第二绝缘层216。
图2A-2E和3显示了本发明的更进一步的实施例。特别地,图3显示了在图2E的结构上沉积金属化层255从而完全填充通孔230和沟槽235的步骤。可以通过自形成在通孔230中的铜晶籽层(未示出)电镀铜来沉积该金属化层255。在可选的实施例中,可以使用化学气相沉积(CVD)和/或物理气相沉积(PVD)技术形成金属化层255。接着通过平坦化金属化层255来在沟槽235中界定沿第三维(未示出)延伸的上金属线255b,并在通孔230中界定金属插塞255a。可以通过对该金属化层255进行充分时间的化学机械抛光执行该平坦化步骤,以暴露出第二绝缘层216。
在附图和说明中,揭示了本发明的典型优选实施例,并且尽管利用了具体的术语,但它们仅仅是作为一般的和描述性的观念,并不是出于限制的目的,本发明的范围由权利要求界定。
本申请要求于2005年8月6日提交的韩国专利申请No.10-2005-0072006的优先权,此处全文引用作为参考。

Claims (17)

1.一种形成集成电路的方法,包括以下步骤:
在集成电路衬底上形成第一金属布线图案;
在该第一金属布线图案上形成蚀刻停止层;
在该蚀刻停止层上形成电绝缘层;
在该电绝缘层上形成金属间介电层;
通过依次选择性地蚀刻该金属间介电层和该电绝缘层,以在其中界定暴露出该蚀刻停止层的第一部分的开口;
在该开口的侧壁上并直接在该蚀刻停止层的该第一部分上形成第一阻挡金属层;
从该蚀刻停止层的该第一部分选择性地去除部分该第一阻挡金属层;接着
使用该第一阻挡金属层作为蚀刻掩模,以充分的时间选择性地蚀刻该蚀刻停止层的第一部分,以暴露出部分该第一金属布线图案;
在该开口的侧壁和在该第一金属布线图案的暴露部分上形成第二阻挡金属层;
以充分时间选择性地蚀刻部分该第二阻挡金属层来暴露出部分该第一金属布线图案;以及
在该开口中形成第二金属布线图案。
2.如权利要求1所述的方法,其中所述形成第二阻挡金属层的步骤之后是通过依次选择性蚀刻部分该第二阻挡金属层和该第一金属布线图案以在该第一金属布线图案的上表面中形成凹形的步骤。
3.如权利要求2所述的方法,其中所述形成第二金属布线图案的步骤之前是在该第一金属布线图案内的凹形上形成第三阻挡金属层的步骤。
4.如权利要求1所述的方法,其中所述形成第二金属布线图案的步骤之前是将该第一金属布线图案暴露在稀释的HF清洁溶液中的步骤。
5.一种形成集成电路器件的方法,包括以下步骤:
在集成电路衬底上形成第一导电布线图案;
在该第一导电布线图案上形成具有不同材料类型的第一和第二电绝缘层;
通过以充分的时间选择性地蚀刻该第二电绝缘层来在其中形成暴露出部分该第一电绝缘层的开口;
在该开口的侧壁上并直接在部分该第一电绝缘层上形成第一阻挡金属层;
从该部分第一电绝缘层上选择性地去除部分该第一阻挡金属层;接着
使用该第一阻挡金属层作为蚀刻掩模,通过以充分的时间选择性地蚀刻该部分第一电绝缘层来暴露出部分该第一导电布线图案;
在该开口的侧壁和在该第一导电布线图案的暴露部分上形成第二阻挡金属层;
以充分时间选择性地蚀刻部分该第二阻挡金属层来暴露出部分该第一导电布线图案;以及
在该开口中形成第二导电布线图案。
6.如权利要求5所述的方法,其中该第一电绝缘层是具有从
Figure FSB00000349320700021
Figure FSB00000349320700022
的范围内的厚度的SiCN层。
7.如权利要求6所述的方法,其中该第二电绝缘层是具有从的范围内的厚度的SiCOH层。
8.如权利要求7所述的方法,其中该第一阻挡金属层是包含钽并具有从
Figure FSB00000349320700025
Figure FSB00000349320700026
的范围内的厚度的金属层。
9.一种形成集成电路的方法,包括以下步骤:
在集成电路衬底上形成第一铜布线图案;
在该第一铜布线图案上形成包含SiCN的蚀刻停止层;
在该蚀刻停止层上形成具有从
Figure FSB00000349320700028
的范围内的厚度的二氧化硅层;
在该二氧化硅层上形成包含SiCOH的金属间介电层;
通过依次选择性地蚀刻该金属间介电层和该二氧化硅层,在其中界定暴露出该蚀刻停止层的第一部分的开口;
在该开口的侧壁上并直接在该蚀刻停止层的该第一部分上形成包含钽的第一阻挡金属层;
从该蚀刻停止层的该第一部分上选择性地去除部分该第一阻挡金属层;接着
使用该第一阻挡金属层作为蚀刻掩模,通过以充分的时间选择性地蚀刻该蚀刻停止层的该第一部分,暴露出部分该第一铜布线图案;
形成沿着该第一阻挡金属层、该蚀刻停止层的侧壁和该第一铜布线图案的该暴露部分延伸的包含钽的第二阻挡金属层;
通过选择性地蚀刻该第二阻挡金属层,暴露出该第一铜布线图案;接着
在该第二阻挡金属层和在该第一铜布线图案上形成包含钽的第三阻挡金属层;接着
用第二铜布线图案填充该开口。
10.如权利要求9所述的方法,其中所述依次选择性蚀刻该金属间介电层和该二氧化硅层的步骤之前是在该金属间介电层上形成二氧化硅硬掩模层的步骤。
11.如权利要求9所述的方法,其中所述形成蚀刻停止层的步骤包括在该第一铜布线图案上形成具有从
Figure FSB00000349320700031
Figure FSB00000349320700032
的范围内的厚度的SiCN层。
12.一种集成电路中的双金属镶嵌布线结构,包括:
在集成电路衬底上的第一金属布线图案;
在该集成电路衬底上延伸的金属间介电层,所述金属间介电层其中具有向着所述第一金属布线图案的上表面相反的方向延伸的通孔;
衬垫该通孔的侧壁的第一阻挡金属层;
在所述第一金属布线图案的上表面和所述金属间介电层之间延伸的蚀刻停止层,所述蚀刻停止层其中具有自对准到所述第一阻挡金属层的开口使得所述蚀刻停止层中的该开口的侧壁与所述第一阻挡金属层的侧壁竖直对准;
衬垫该蚀刻停止层中的该开口的侧壁的第二阻挡金属层;以及
延伸到该通孔和该开口中并电连接到所述第一金属布线图案的第二金属布线图案,
其中,所述第二阻挡金属层没有覆盖所述第一金属布线图案的上表面。
13.如权利要求12所述的布线结构,其中该金属间介电层包括第一绝缘层和在该第一绝缘层上形成的第二绝缘层。
14.如权利要求13所述的布线结构,其中该第一绝缘层是氧化层,该第二绝缘层具有比该氧化层的介电常数更低的介电常数。
15.如权利要求12所述的布线结构,还包括在该蚀刻停止层的侧壁和该第二金属布线图案之间延伸的第三阻挡金属层。
16.如权利要求15所述的布线结构,其中该第一阻挡金属层包含氮化钽,该第三阻挡金属层包含钽。
17.一种集成电路的布线结构,包括:
在集成电路衬底上的第一导电图案;
在该集成电路衬底上的第二电绝缘层,所述第一导电图案在其中具有向着所述第一导电图案的上表面相反的方向延伸的通孔;
衬垫该通孔的侧壁的第一阻挡金属层;
在该第一导电图案上表面和所述第二电绝缘层之间延伸的第一电绝缘层,所述第一电绝缘层在其中具有自对准到所述第一阻挡金属层的开口使得所述第一电绝缘层中的该开口的侧壁与所述第一阻挡金属层的侧壁竖直对准;
衬垫该第一电绝缘层中的该开口的侧壁的第二阻挡金属层;以及
延伸到该通孔和该开口中并电连接到所述第一导电图案的第二导电图案,
其中所述第二阻挡金属层没有覆盖所述第一导电图案的上表面。
CN2006101212510A 2005-08-06 2006-08-07 双金属镶嵌金属布线图案的形成方法和形成的布线图案 Active CN1913128B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020050072006A KR100640662B1 (ko) 2005-08-06 2005-08-06 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
KR72006/05 2005-08-06
US11/421,202 US7550822B2 (en) 2005-08-06 2006-05-31 Dual-damascene metal wiring patterns for integrated circuit devices
US11/421,202 2006-05-31

Publications (2)

Publication Number Publication Date
CN1913128A CN1913128A (zh) 2007-02-14
CN1913128B true CN1913128B (zh) 2011-06-29

Family

ID=37621319

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101212510A Active CN1913128B (zh) 2005-08-06 2006-08-07 双金属镶嵌金属布线图案的形成方法和形成的布线图案

Country Status (4)

Country Link
US (2) US7550822B2 (zh)
KR (1) KR100640662B1 (zh)
CN (1) CN1913128B (zh)
TW (1) TWI316739B (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100640662B1 (ko) * 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
KR100790452B1 (ko) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
KR100815946B1 (ko) * 2006-12-29 2008-03-21 동부일렉트로닉스 주식회사 반도체 소자
US7462038B2 (en) * 2007-02-20 2008-12-09 Qimonda Ag Interconnection structure and method of manufacturing the same
US7538398B2 (en) * 2007-06-21 2009-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for forming a semiconductor device source/drain contact
US8030778B2 (en) * 2007-07-06 2011-10-04 United Microelectronics Corp. Integrated circuit structure and manufacturing method thereof
DE102008021568B3 (de) * 2008-04-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
WO2009134386A1 (en) * 2008-04-30 2009-11-05 Advanced Micro Devices, Inc. Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices
DE102008026134A1 (de) * 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
JP2010287831A (ja) * 2009-06-15 2010-12-24 Renesas Electronics Corp 半導体装置およびその製造方法
US8164190B2 (en) 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
US8237191B2 (en) 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
CN102005411A (zh) * 2009-09-01 2011-04-06 中芯国际集成电路制造(上海)有限公司 阻挡层的形成方法
US20120273261A1 (en) * 2010-10-20 2012-11-01 Taiwan Green Point Enterprises Co., Ltd. Circuit substrate having a circuit pattern and method for making the same
US20110227230A1 (en) * 2010-03-19 2011-09-22 Qualcomm Incorporated Through-silicon via fabrication with etch stop film
CN102339741B (zh) * 2010-07-22 2013-09-18 中芯国际集成电路制造(上海)有限公司 化学机械研磨方法
CN102347311B (zh) * 2010-07-29 2013-05-01 台湾积体电路制造股份有限公司 半导体组件及其制造方法
US8405135B2 (en) 2010-10-05 2013-03-26 International Business Machines Corporation 3D via capacitor with a floating conductive plate for improved reliability
CN102468224A (zh) * 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 半导体互连结构的制作方法
CN102623437B (zh) * 2012-04-06 2017-05-31 上海集成电路研发中心有限公司 硅通孔结构及其制造方法
CN102881640A (zh) * 2012-09-17 2013-01-16 上海华力微电子有限公司 一种双大马士革铜互连结构的制造方法
US9041216B2 (en) * 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
CN103208455B (zh) * 2013-03-15 2016-02-03 上海华力微电子有限公司 金属硬质掩模结构的修复方法
KR102068677B1 (ko) * 2013-04-10 2020-01-22 삼성전자 주식회사 반도체 소자 제조 방법
US8809185B1 (en) 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
US9299656B2 (en) * 2014-06-02 2016-03-29 Infineon Technologies Ag Vias and methods of formation thereof
KR102211741B1 (ko) * 2014-07-21 2021-02-03 삼성전기주식회사 인쇄회로기판 및 인쇄회로기판의 제조 방법
KR102399345B1 (ko) 2014-11-12 2022-05-19 삼성전자주식회사 반도체 장치의 제조 방법
US9613861B2 (en) 2015-08-05 2017-04-04 Globalfoundries Inc. Damascene wires with top via structures
US10211097B2 (en) * 2015-12-30 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107591357B (zh) * 2016-07-07 2020-09-04 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US20190363048A1 (en) * 2018-05-22 2019-11-28 Lam Research Corporation Via prefill in a fully aligned via
US10395986B1 (en) * 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US10784151B2 (en) * 2018-09-11 2020-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method for the same
US11024535B2 (en) * 2018-10-10 2021-06-01 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
CN111341728B (zh) * 2018-12-19 2022-12-02 夏泰鑫半导体(青岛)有限公司 半导体器件及其制造方法
US11694926B2 (en) 2020-04-27 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free interface between beol interconnects
TWI764388B (zh) * 2020-04-27 2022-05-11 台灣積體電路製造股份有限公司 積體電路晶片及其形成方法
US20230072614A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Method Of Forming A Metal Liner For Interconnect Structures
US11869808B2 (en) 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3482779B2 (ja) * 1996-08-20 2004-01-06 セイコーエプソン株式会社 半導体装置およびその製造方法
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
JP2000323571A (ja) 1999-05-14 2000-11-24 Sony Corp 半導体装置の製造方法
KR20010061583A (ko) 1999-12-28 2001-07-07 박종섭 반도체 소자의 대머신 금속배선 형성방법
TW463307B (en) * 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6756672B1 (en) 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
TW502381B (en) 2001-04-24 2002-09-11 United Microelectronics Corp Manufacturing method of damascene structure
US20020177303A1 (en) * 2001-05-23 2002-11-28 Qing-Tang Jiang Method for sealing via sidewalls in porous low-k dielectric layers
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
KR100531419B1 (ko) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 반도체소자 및 그의 제조방법
US6753260B1 (en) * 2001-10-05 2004-06-22 Taiwan Semiconductor Manufacturing Company Composite etching stop in semiconductor process integration
US6645853B1 (en) * 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
JP2006019480A (ja) * 2004-07-01 2006-01-19 Nec Electronics Corp 半導体装置の製造方法
KR100640662B1 (ko) * 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics

Also Published As

Publication number Publication date
TWI316739B (en) 2009-11-01
CN1913128A (zh) 2007-02-14
KR100640662B1 (ko) 2006-11-01
US7550822B2 (en) 2009-06-23
US20090250429A1 (en) 2009-10-08
US20120193322A9 (en) 2012-08-02
TW200709299A (en) 2007-03-01
US20070032062A1 (en) 2007-02-08

Similar Documents

Publication Publication Date Title
CN1913128B (zh) 双金属镶嵌金属布线图案的形成方法和形成的布线图案
US7335588B2 (en) Interconnect structure and method of fabrication of same
US6680514B1 (en) Contact capping local interconnect
US6452251B1 (en) Damascene metal capacitor
KR100389174B1 (ko) 금속 캐패시터 및 이의 형성 방법
US7393777B2 (en) Sacrificial metal spacer damascene process
KR100532455B1 (ko) Mim 커패시터 및 배선 구조를 포함하는 반도체 장치의제조 방법
CN108461477B (zh) 用于超(跳跃)通孔整合的金属互连
US8765604B2 (en) Interconnection structure for an integrated circuit
US20150130080A1 (en) Semiconductor device structures including damascene structures
US20040232552A1 (en) Air gap dual damascene process and structure
US6806579B2 (en) Robust via structure and method
CN110707066A (zh) 一种内连线结构及其制备方法
JP2006114724A (ja) 半導体装置及びその製造方法
CN113035772A (zh) 半导体结构及其制备方法
CN210984722U (zh) 半导体结构
KR20080061168A (ko) 반도체 소자의 금속 배선 형성 방법
KR100714026B1 (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant