CN1875482A - 制造鳍型场效应晶体管的方法 - Google Patents

制造鳍型场效应晶体管的方法 Download PDF

Info

Publication number
CN1875482A
CN1875482A CNA2004800322936A CN200480032293A CN1875482A CN 1875482 A CN1875482 A CN 1875482A CN A2004800322936 A CNA2004800322936 A CN A2004800322936A CN 200480032293 A CN200480032293 A CN 200480032293A CN 1875482 A CN1875482 A CN 1875482A
Authority
CN
China
Prior art keywords
fin
silicon
layer
top surface
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800322936A
Other languages
English (en)
Other versions
CN100459126C (zh
Inventor
布伦特·A·安德森
爱德华·J·诺瓦克
杰德·H·兰金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1875482A publication Critical patent/CN1875482A/zh
Application granted granted Critical
Publication of CN100459126C publication Critical patent/CN100459126C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform

Abstract

本发明涉及FinFET结构以及形成FinFET器件的方法。该方法包括:(a)提供半导体衬底(100);(b)在所述衬底(100)的顶表面(105)上形成电介质层(110);(c)在所述电介质层(110)的顶表面(115)上形成硅鳍(135);(d)在所述鳍(135)的至少一个侧壁(150A)上形成保护层(160);以及(e)在所述鳍(135)的沟道区(175)中从所述至少一个侧壁(150A)去除所述保护层(160)。在第二实施例中,保护层(160)转变为保护间隔物(210A)。

Description

制造鳍型场效应晶体管的方法
技术领域
本发明涉及半导体器件领域;更具体地,涉及制造鳍型场效应晶体管(FinFET)的方法。
背景技术
在FinFET技术中,晶体硅的垂直鳍用于形成晶体管的体(body)且栅极形成在体的侧壁上。当栅极形成在体的两侧壁上时,该晶体管通常称为双栅极型FinFET。
随着FinFET密度增大,鳍厚度和形成在鳍上的栅极电介质厚度两者都降低。这产生了两个问题。第一,较薄的栅极电介质要求比现有FinFET制造技术所能制造的更洁净且结晶更理想的鳍侧壁。第二,当现有的鳍制造技术应用于制造薄鳍时,所得鳍不牢固地附着到支承衬底。
因此,需要一种制造具有很薄的鳍的FinFET的方法,所述薄鳍具有晶化接近完美的侧壁表面且克服了薄鳍的固有结构弱点。
发明内容
本发明的第一方面是形成FinFET器件的方法,包括:(a)提供半导体衬底;(b)在该衬底的顶表面上形成电介质层;(c)在该电介质层的顶表面上形成硅鳍;(d)在该鳍的至少一个侧壁上形成保护层;及(e)在该鳍的沟道区中从所述至少一个侧壁去除保护层。
本发明的第二方面是形成FinFET器件的方法,包括:(a)提供半导体衬底;(b)在该衬底的顶表面上形成电介质层;(c)在该电介质层的顶表面上形成具有侧壁的硅鳍;及(d)在所述侧壁的至少一个的至少下部上形成保护间隔物。
本发明的第三方面是FinFET器件,包括:半导体衬底;在该衬底的顶表面上的电介质层;具有侧壁的硅鳍,该鳍在该电介质层的顶表面上;及所述侧壁的至少一个的至少下部上的保护间隔物。
附图说明
本发明的特征阐明在所附权利要求中。然而,结合附图参考下面的示例性实施例的详细描述将更好地理解本发明本身,附图中:
图1A至10A是顶视图,且对应的图1B至10B是横截面图,示出了根据本发明第一实施例的FinFET结构的制造;
图11A是层间电介质(ILD)形成之后图10A和10B所示的利用保形栅极的FinFET的横截面图;
图11B是层间电介质(ILD)形成之后利用镶嵌平坦化的栅极的FinFET的横截面图;
图12A至16A是顶视图,且对应的图12B至16B是横截面图,示出了根据本发明第二实施例的FinFET结构的制造;以及
图17A至17F是横截面图,示出了根据本发明第三实施例的FinFET结构的制造。
具体实施方式
图1A至9A是顶视图,且对应的图1B至9B是横截面图,示出了根据本发明第一实施例的FinFET结构的制造。
图1B是通过图1A的线1B-1B的横截面图。图1A和1B中,提供了半导体衬底100。在一个例子中,衬底100是单晶硅。形成在衬底100的顶表面105上的是掩埋电介质层,当前示例了掩埋氧化物层(BOX)110。形成在BOX 110的顶表面115上的是硅层120。在一个例子中,硅层120为约200至2000厚。硅层120可以是单晶硅、多晶硅或非晶硅。可以获得衬底100、BOX 110和硅层120作为绝缘体上硅(SOI)衬底或SIMOX衬底。蚀刻掩模130形成在硅层125的顶表面上。在一个例子中,蚀刻掩模130通过应用光致抗蚀剂层到硅层120的顶表面125且光刻构图该光致抗蚀剂层而形成。
图2B是通过图2A的线2B-2B的横截面图。图2A和2B中,进行反应离子蚀刻(RIE)工艺(利用例如CF4)从而自BOX 110之上的硅层120去除不需要的硅且留下鳍135。鳍135具有宽度W和高度H。高度H与图1B的硅层120的厚度相同,其为约500至2000。在一个例子中,W为约50至350。鳍135的基面(base surface)140与BOX 110的顶表面115直接物理接触,且鳍与BOX之间的该接触和粘合支承鳍。
图3B是通过图3A的线3B-3B的横截面图。图3A和3B中,利用缓冲氢氟酸(BHF)去除掩模130(见图2B),导致鳍135的基面140之下BOX110的底切(undercut)。鳍135现在仅由台座(pedestal)145支承。图4B是通过图4A的线4B-4B的横截面图。图4A和4B中,进行包括氧化和BHF剥离的多个清洁步骤来清洁侧壁150A和150B且从侧壁去除表面结晶缺陷。这些清洁步骤引起鳍135的基面140之下的BOX 110的进一步底切。现在鳍135仅由台座155支承。鳍的底切在鳍的每侧为D。在一个例子中,D为约50至75。必须注意不要完全底切鳍135。由于鳍135的基面140与台座155之间的总接触面积降低,鳍变得更容易断裂。
图5B是通过图5A的线5B-5B的横截面图。图5A和5B中,保形保护层160形成在鳍135的侧壁150A和150B以及顶表面150C上且形成在BOX110的暴露的顶表面115上。保护层160保护鳍135的侧壁150A和150B免于来自后续处理(下文描述)的潜在损坏,且结构性地支承鳍。在第一示例中,保护层160是通过等离子体增强化学气相沉积(PECVD)形成的四乙氧基甲硅烷(TEOS)氧化物且为约15至50厚。在第二示例中,保护层160为通过低压化学气相沉积(LPCVD)形成的硅氮化物且为约15至50厚。
接着进行形成掺杂区例如源极/漏极(S/D)区以及调整鳍135内沟道区的掺杂水平所需的一系列工艺步骤。所述步骤每个包括:(1)用光致抗蚀剂掩模对鳍135的区域进行掩模化;(2)进行离子注入;(3)去除光致抗蚀剂掩模(通常在氧等离子体中);及(4)进行可选的退火。这四个步骤可以重复2至4次或更多次,精确次数取决于所需的鳍135内的掺杂水平控制。最后,进行清洁例如稀释氢氟酸(HF)清洁和/或黄A清洁(HuangA clean)、和/或黄B清洁(Huang B clean)。离子注入步骤的例子示于图6A和6B中且在下文中描述。没有适当的保护层160,侧壁150A和150B的表面会发生损坏且鳍135会底切至鳍从BOX 110分离。
图6B是通过图6A的线6B-6B的横截面图。图6A和6B中,光致抗蚀剂掩模165形成在鳍135的S/D区170之上且在鳍的沟道区175中进行离子注入。离子注入物质X可以是通常注入的任何物质,例如B、P、As、以及Ge。对于源极/漏极离子注入,沟道区175被掩模化且进行到S/D区170中的注入。
图7B是通过图7A的线7B-7B的横截面图。图7A和7B中,光致抗蚀剂掩模180形成在部分保护层160和BOX层110之上且保护层从鳍135未被光致抗蚀剂掩模保护的地方去除。在保护层160包括硅氮化物的例子中,氟基RIE或热磷酸蚀刻可被使用。在保护层160包括二氧化硅的例子中,氟基RIE或稀释HF酸蚀刻可被使用。
图8B是通过图8A的线8B-8B的横截面图。图8A和8B中,栅极电介质层185形成在暴露的侧壁150A和150B及鳍135的顶表面150C上。在一个例子中,栅极电介质层185是约15至50厚的热氧化物。
图9B是通过图9A的线9B-9B的横截面图。图9A和9B中,栅极190形成在鳍的沟道区175中栅极电介质185及鳍135之上。在本例中,栅极190通过导电材料的保形毯式沉积、光刻掩模步骤及RIE形成。适合的栅极材料的例子包括掺杂和未掺杂的多晶硅及诸如W或Al的金属。由于栅极190形成在鳍135的两个侧壁150A和150B之上,所得FinFET将是双栅极的。
图10B是通过图10A的线10B-10B的横截面图。图10A和10B中,如果保护层160是硅氮化物,任何残留的保护层160(见图9A)利用稀释HF蚀刻或氟基RIE或利用H3PO4被去除。鳍135现在被栅极190支承直到ILD沉积在整个FinFET结构上。
图11A是ILD形成之后如图10A和10B所示利用保形栅极的FinFET的横截面图。图11A中,ILD层195沉积在栅极190、鳍135的暴露表面及BOX 110的暴露表面上。进行化学机械抛光(CMP)工艺来平坦化ILD层的顶表面200。ILD材料的例子包括TEOS PECVD氧化物及氟掺杂玻璃(FSG)。通过经形成在ILD 195中的通孔形成到鳍135的S/D区170(见图6A)和栅极190的接触而完成所得FinFET。
图11B是层间电介质(ILD)形成之后利用镶嵌平坦化栅极的FinFET的横截面图。图11B中,首先沉积ILD195且通过镶嵌工艺形成栅极190A。在镶嵌工艺中,通过光刻构图应用于ILD之上的掩模层、进行ILD的反应离子蚀刻(RIE)、去除掩模层、沉积足够厚的导电材料从而填充沟槽及进行CMP工艺来共平坦化(co-planarize)导电材料和ILD的顶表面,来在ILD中形成沟槽。在图11B中,ILD 195的顶表面200与栅极190A的顶表面205共平面。对于镶嵌栅极,蚀刻沟槽之后形成栅极电介质185是必要的。通过经形成在ILD 195中的通孔形成到栅极185的直接接触和到鳍135的S/D区170(见图6A)的接触而完成所得FinFET。
图12A至16A是顶视图,且对应的图12B至16B是横截面图,示出了根据本发明第二实施例的FinFET结构的制造。
图12B是通过图12A的线12B-12B的横截面图。用于第二实施例的起点是紧接如上参照图5A和5B所述的保护层160的沉积之后,且包括图1A(B)至4A(B)所示的全部前面步骤。图12A和12B分别与图5A和5B相同。
图13B是通过图13A的线13B-13B的横截面图。图13A和13B中,进行保护层160(见图12B)的RIE来分别在鳍135的侧壁150A和150B的下部215A和215B上形成支承间隔物210A和210B。间隔物210A和2120B为鳍135提供支承结构。
然后进行形成掺杂区例如源极/漏极(S/D)区以及调整鳍135内沟道区所需的一系列工艺步骤。所述步骤每个包括:(1)用光致抗蚀剂掩模对鳍135的区域进行掩模化;(2)进行离子注入;(3)去除光致抗蚀剂掩模(通常在氧等离子体中);及(4)进行可选的退火。这四个步骤可以重复2至4次或更多次,精确次数取决于所需的鳍135内的掺杂水平控制。最后,进行清洁例如稀释氢氟酸(HF)清洁和/或黄A清洁(Huang A clean)、和/或黄B(HuangB clean)。离子注入步骤的例子示于图14A和14B且在下文描述。
图14B是通过图14A的线14B-14B的横截面图。图14A和14B中,光致抗蚀剂掩模165形成在鳍135的S/D区170之上且在鳍的沟道区175中进行离子注入。离子注入物质X可以是通常注入的任何物质例如B、P、As、以及Ge。对于源极/漏极离子注入,沟道区175被掩模化且进行到S/D区170中的注入。
图15B是通过图15A的线15B-15B的横截面图。图15A和15B中,栅极电介质层185形成在鳍135的暴露侧壁150A和150B及顶表面150C上。在一个例子中,栅极电介质层185是约15至50厚的热氧化物。间隔物210A和210B将被结合到完成的FinFET器件中。供选地,在栅极电介质185的形成之前,支承间隔物210A和210B可以首先通过RIE被去除。
图16B是通过图16A的线16B-16B的横截面图。图16A和16B中,栅极190形成在鳍的沟道区175中栅极电介质185及鳍135之上。在本例中,通过导电材料的保形毯式沉积、光刻掩模步骤及RIE形成栅极190。适合的栅极材料的例子包括掺杂和未掺杂的多晶硅及诸如W或Al的金属。由于栅极190形成在鳍135的两个侧壁150A和150B之上,所得FinFET将是双栅极的。该FinFET可以如上面本发明第一实施例所述的那样被完成。
图17A至17F是横截面图,示出了根据本发明第三实施例的FinFET结构的制造。在图17A中,提供半导体衬底300。形成在衬底300的顶表面305上的是BOX 310。形成在BOX 310的顶表面315上的是芯层(mandrel layer)320。在一个例子中,芯层320是硅氮化物。在图17B中,芯层320(见图17A)被光刻构图且进行RIE从而形成芯(mandrel)325。在图17C中,非晶硅或多晶硅层330保形地沉积在芯325的顶表面335和侧壁340上以及在BOX 310的暴露的顶表面315上。在一个例子中,通过溅镀硅形成硅层330。硅层330经历高温退火从而转变成单晶硅层。在图17D中,硅层330(见图17C)被进行RIE从而形成鳍345。鳍345的内侧壁350A与芯335的侧壁340接触。在图17E中,保形保护层350形成在芯325的顶表面335、鳍345的顶表面360和外侧壁350B以及BOX 310的暴露顶表面315之上。在图17F中,进行RIE工艺从而形成与鳍345的外侧壁350A的下部370接触的支承间隔物365。可以进行如前所述的进一步处理从而完成FinFET器件。支承间隔物365可以在后面处理中被去除或留在原位并被包含到完成的FinFET器件中。
这样,本发明公开了一种制造具有很薄的鳍的FinFET的方法,所述薄鳍具有结晶接近完美的侧壁表面且克服了薄鳍的固有结构弱点。
上面给出了本发明实施例的说明以用于理解本发明。应理解,本发明不限于这里描述的特定实施例,而是如现在对本领域技术人员来说明显地,能够进行各种修改、调整和替代而不偏离本发明的范围。因此,下面的权利要求意图涵盖落在本发明的实质精神和范围内的这样的修改和变化。

Claims (28)

1.一种形成FinFET器件的方法,包括:
(a)提供半导体衬底;
(b)在所述衬底的顶表面上形成电介质层;
(c)在所述电介质层的顶表面上形成硅鳍;
(d)在所述鳍的至少一个侧壁上形成保护层;以及
(e)在所述鳍的沟道区中从所述至少一个侧壁去除所述保护层。
2.如权利要求1所述的方法,还包括在步骤(d)和(e)之间进行到所述鳍中的至少一个离子注入步骤。
3.如权利要求1所述的方法,还包括:
(f)在所述沟道区中在所述鳍的暴露表面上形成栅极电介质;以及
(g)在所述栅极电介质上形成导电栅极。
4.如权利要求3所述的方法,还包括:
(h)从所述鳍的源极/漏极区去除所述保护层。
5.如权利要求1所述的方法,还包括在步骤(c)和(d)之间从所述鳍之下去除部分所述电介质层。
6.如权利要求1所述的方法,其中所述保护层包括四乙氧基甲硅烷氧化物或硅氮化物。
7.如权利要求1所述的方法,其中所述保护层为约15至50厚。
8.如权利要求1所述的方法,其中所述鳍具有约500至2000的高度且具有约200至500的宽度。
9.如权利要求1所述的方法,其中步骤(c)包括:
在所述电介质层的所述顶表面上形成硅层;
在所述硅层之上形成掩模;
去除所述硅层的未被所述掩模保护的部分从而暴露所述电介质层;及
去除所述掩模。
10.如权利要求1所述的方法,其中所述鳍包括单晶硅。
11.一种形成FinFET器件的方法,包括:
(a)提供半导体衬底;
(b)在所述衬底的顶表面上形成电介质层;
(c)在所述电介质层的顶表面上形成具有侧壁的硅鳍;及
(d)在所述侧壁的至少一个的至少下部上形成保护间隔物。
12.如权利要求11所述的方法,还包括:
(e)进行到所述鳍中的至少一个离子注入步骤。
13.如权利要求11所述的方法,还包括:
(e)至少在所述鳍的沟道区中在所述鳍的暴露表面上形成栅极电介质;及
(f)在所述栅极电介质上形成导电栅极。
14.如权利要求11所述的方法,还包括在步骤(c)和(d)之间从所述鳍之下去除部分所述电介质层。
15.如权利要求11所述的方法,其中所述保护间隔物包括四乙氧基甲硅烷氧化物或硅氮化物。
16.如权利要求11所述的方法,其中所述保护间隔物为约15至50厚。
17.如权利要求11所述的方法,其中所述鳍具有约500至2000的高度且具有约200至500的宽度。
18.如权利要求11所述的方法,其中步骤(c)包括:
在所述的所述顶表面上形成硅层;
在所述硅层之上掩模化;
去除所述硅层的未被所述掩模保护的部分从而暴露所述电介质层;及
去除所述掩模。
19.如权利要求11所述的方法,其中步骤(c)包括:
在所述电介质层上形成芯;
在所述芯的顶表面和侧壁上以及在所述电介质层的未被所述芯覆盖的表面上沉积保形硅层;
从所述芯的所述顶表面及所述电介质层的未被所述芯覆盖的表面去除所述保形硅层。
20.如权利要求19所述的方法,还包括在该去除步骤之后进行所述保形硅层的高温退火。
21.如权利要求11所述的方法,其中所述鳍包括单晶硅。
22.一种FinFET器件,包括:
半导体衬底;
电介质层,其在所述衬底的顶表面上;
硅鳍,其具有侧壁,所述鳍在所述电介质层的顶表面上;及
保护间隔物,其在所述侧壁的至少一个的至少下部上。
23.如权利要求22所述的FinFET器件,其中所述鳍包括沟道区和源极/漏极区。
24.如权利要求22所述的FinFET器件,还包括:
栅极电介质,其在所述鳍的所述沟道区中在所述鳍的表面上;及
导电栅极,其在所述栅极电介质上。
25.如权利要求22所述的FinFET器件,其中所述保护间隔物包括四乙氧基甲硅烷氧化物或硅氮化物。
26.如权利要求22所述的FinFET器件,其中所述保护间隔物为约15至50厚。
27.如权利要求22所述的FinFET器件,其中所述鳍具有约500至2000的高度且具有约200至500的宽度。
28.如权利要求22所述的FinFET器件,其中所述硅鳍包括单晶硅。
CNB2004800322936A 2003-11-05 2004-11-05 鳍型场效应晶体管及其制造方法 Active CN100459126C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/605,905 2003-11-05
US10/605,905 US6962843B2 (en) 2003-11-05 2003-11-05 Method of fabricating a finfet

Publications (2)

Publication Number Publication Date
CN1875482A true CN1875482A (zh) 2006-12-06
CN100459126C CN100459126C (zh) 2009-02-04

Family

ID=34549689

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800322936A Active CN100459126C (zh) 2003-11-05 2004-11-05 鳍型场效应晶体管及其制造方法

Country Status (6)

Country Link
US (2) US6962843B2 (zh)
EP (1) EP1690294A4 (zh)
JP (1) JP4953820B2 (zh)
KR (1) KR100843488B1 (zh)
CN (1) CN100459126C (zh)
WO (1) WO2005045900A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102315224A (zh) * 2010-07-07 2012-01-11 中国科学院微电子研究所 使用FinFET的非易失性存储器件及其制造方法
CN103811344A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
CN103855015A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 FinFET及其制造方法

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7087506B2 (en) * 2003-06-26 2006-08-08 International Business Machines Corporation Method of forming freestanding semiconductor layer
US7049662B2 (en) * 2003-11-26 2006-05-23 International Business Machines Corporation Structure and method to fabricate FinFET devices
WO2005064682A1 (en) * 2003-12-08 2005-07-14 International Business Machines Corporation Semiconductor memory device with increased node capacitance
US7084018B1 (en) * 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
JP3964885B2 (ja) * 2004-05-19 2007-08-22 株式会社東芝 半導体装置及びその製造方法
KR100591770B1 (ko) * 2004-09-01 2006-06-26 삼성전자주식회사 반도체 핀을 이용한 플래쉬 메모리 소자 및 그 제조 방법
US7101763B1 (en) * 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
KR100645065B1 (ko) * 2005-06-23 2006-11-10 삼성전자주식회사 핀 전계 효과 트랜지스터와 이를 구비하는 비휘발성 메모리장치 및 그 형성 방법
KR100642384B1 (ko) * 2005-09-15 2006-11-03 주식회사 하이닉스반도체 반도체 메모리소자의 트랜지스터 및 그 제조방법
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
KR100838378B1 (ko) * 2006-09-29 2008-06-13 주식회사 하이닉스반도체 핀트랜지스터의 제조 방법
US7452758B2 (en) * 2007-03-14 2008-11-18 International Business Machines Corporation Process for making FinFET device with body contact and buried oxide junction isolation
US7910482B2 (en) * 2008-05-30 2011-03-22 Freescale Semiconductor, Inc. Method of forming a finFET and structure
US7863136B2 (en) * 2008-09-30 2011-01-04 Qimonda Ag Method of manufacturing integrated circuits including a FET with a gate spacer and a fin
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US20100308440A1 (en) * 2009-06-08 2010-12-09 Globalfoundries Inc. Semiconductor structures and methods for stabilizing silicon-comprising structures on a silicon oxide layer of a semiconductor substrate
US8124507B2 (en) * 2009-06-24 2012-02-28 Panasonic Corporation Semiconductor device and method for fabricating the same
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8338256B2 (en) 2010-07-08 2012-12-25 International Business Machines Corporation Multi-gate transistor having sidewall contacts
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8211759B2 (en) 2010-10-21 2012-07-03 International Business Machines Corporation Semiconductor structure and methods of manufacture
US8232164B2 (en) 2010-10-29 2012-07-31 International Business Machines Corporation Damascene method of forming a semiconductor structure and a semiconductor structure with multiple fin-shaped channel regions having different widths
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9401436B2 (en) 2011-05-05 2016-07-26 Qualcomm Incorporated Multiple control transcap variable capacitor
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8569125B2 (en) * 2011-11-30 2013-10-29 International Business Machines Corporation FinFET with improved gate planarity
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
FR3002813B1 (fr) 2013-03-01 2016-08-05 St Microelectronics Sa Procede de fabrication d'un transistor mos a ailette
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US9306036B2 (en) * 2013-07-30 2016-04-05 Globalfoundries Inc. Nitride spacer for protecting a fin-shaped field effect transistor (finFET) device
US9252272B2 (en) * 2013-11-18 2016-02-02 Globalfoundries Inc. FinFET semiconductor device having local buried oxide
US9496282B2 (en) 2013-12-02 2016-11-15 International Business Machines Corporation Structure and method to reduce crystal defects in epitaxial fin merge using nitride deposition
US9312273B2 (en) 2013-12-02 2016-04-12 International Business Machines Corporation Structure and method to reduce crystal defects in epitaxial fin merge using nitride deposition
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9214557B2 (en) * 2014-02-06 2015-12-15 Globalfoundries Singapore Pte. Ltd. Device with isolation buffer
US9548213B2 (en) 2014-02-25 2017-01-17 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US9685501B2 (en) 2014-04-02 2017-06-20 International Business Machines Corporation Low parasitic capacitance finFET device
US9530659B2 (en) 2014-05-02 2016-12-27 International Business Machines Corporation Structure for preventing buried oxide gouging during planar and FinFET Processing on SOI
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9478660B2 (en) 2015-01-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer on fin of fin field effect transistor (FinFET) device structure
US9496373B2 (en) * 2015-04-02 2016-11-15 International Business Machines Corporation Damage-resistant fin structures and FinFET CMOS
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US9997590B2 (en) 2016-10-24 2018-06-12 International Büsiness Machines Corporation FinFET resistor and method to fabricate same
TWI604569B (zh) * 2016-11-15 2017-11-01 新唐科技股份有限公司 半導體裝置及其形成方法
US10374066B2 (en) 2017-07-11 2019-08-06 International Business Machines Corporation Fin and shallow trench isolation replacement to prevent gate collapse
US11164876B2 (en) * 2019-02-07 2021-11-02 Micron Technology, Inc. Atom implantation for passivation of pillar material
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4252574A (en) 1979-11-09 1981-02-24 Rca Corporation Low leakage N-channel SOS transistors and method of making them
US4393572A (en) 1980-05-29 1983-07-19 Rca Corporation Method of making low leakage N-channel SOS transistors utilizing positive photoresist masking techniques
US4714685A (en) 1986-12-08 1987-12-22 General Motors Corporation Method of fabricating self-aligned silicon-on-insulator like devices
US4716128A (en) 1986-12-10 1987-12-29 General Motors Corporation Method of fabricating silicon-on-insulator like devices
JPH01291465A (ja) * 1988-05-19 1989-11-24 Fujitsu Ltd Mis電界効果半導体装置及びその製造方法
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US6143582A (en) 1990-12-31 2000-11-07 Kopin Corporation High density electronic circuit modules
US5391506A (en) 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH08167721A (ja) * 1994-12-09 1996-06-25 Lg Semicon Co Ltd 薄膜トランジスタの構造及びその製造方法
JPH08316348A (ja) * 1995-03-14 1996-11-29 Toshiba Corp 半導体装置およびその製造方法
JP3607431B2 (ja) * 1996-09-18 2005-01-05 株式会社東芝 半導体装置およびその製造方法
US6271095B1 (en) 1999-02-22 2001-08-07 Advanced Micro Devices, Inc. Locally confined deep pocket process for ULSI mosfets
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6399450B1 (en) 2000-07-05 2002-06-04 Advanced Micro Devices, Inc. Low thermal budget process for manufacturing MOS transistors having elevated source and drain regions
JP2002118255A (ja) * 2000-07-31 2002-04-19 Toshiba Corp 半導体装置およびその製造方法
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6524920B1 (en) 2001-02-09 2003-02-25 Advanced Micro Devices, Inc. Low temperature process for a transistor with elevated source and drain
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
JP3488916B2 (ja) * 2001-03-13 2004-01-19 独立行政法人産業技術総合研究所 半導体装置の製造方法
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US6750487B2 (en) * 2002-04-11 2004-06-15 International Business Machines Corporation Dual double gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6800917B2 (en) * 2002-12-17 2004-10-05 Texas Instruments Incorporated Bladed silicon-on-insulator semiconductor devices and method of making
US7087499B2 (en) * 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US6992354B2 (en) * 2003-06-25 2006-01-31 International Business Machines Corporation FinFET having suppressed parasitic device characteristics
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6855583B1 (en) * 2003-08-05 2005-02-15 Advanced Micro Devices, Inc. Method for forming tri-gate FinFET with mesa isolation
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102315224A (zh) * 2010-07-07 2012-01-11 中国科学院微电子研究所 使用FinFET的非易失性存储器件及其制造方法
CN102315224B (zh) * 2010-07-07 2014-01-15 中国科学院微电子研究所 使用FinFET的非易失性存储器件及其制造方法
CN103811344A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
CN103811344B (zh) * 2012-11-09 2016-08-10 中国科学院微电子研究所 半导体器件及其制造方法
CN103855015A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 FinFET及其制造方法
CN105097555A (zh) * 2012-11-30 2015-11-25 中国科学院微电子研究所 FinFET及其制造方法
CN105097555B (zh) * 2012-11-30 2017-03-29 中国科学院微电子研究所 FinFET及其制造方法

Also Published As

Publication number Publication date
US7247908B2 (en) 2007-07-24
US20050280090A1 (en) 2005-12-22
WO2005045900A3 (en) 2005-11-03
JP2007511077A (ja) 2007-04-26
US6962843B2 (en) 2005-11-08
US20050093074A1 (en) 2005-05-05
EP1690294A2 (en) 2006-08-16
EP1690294A4 (en) 2010-09-22
WO2005045900A2 (en) 2005-05-19
KR20060115868A (ko) 2006-11-10
KR100843488B1 (ko) 2008-07-04
CN100459126C (zh) 2009-02-04
JP4953820B2 (ja) 2012-06-13

Similar Documents

Publication Publication Date Title
CN100459126C (zh) 鳍型场效应晶体管及其制造方法
US20070210357A1 (en) Mosfet having recessed channel and method of fabricating the same
CN102074481B (zh) 制造半导体器件的方法
KR100719152B1 (ko) 전계-효과 트랜지스터 및 그 제조 방법
CN1075242C (zh) Soi基片及其制造方法
KR19990076582A (ko) Mos 트랜지스터 형성 방법
US6037199A (en) SOI device for DRAM cells beyond gigabit generation and method for making the same
CN1206706C (zh) 一种在半导体基底上形成自行对准的接触窗结构的方法
US7297577B2 (en) SOI SRAM device structure with increased W and full depletion
CN1992183A (zh) 使用绝缘体上硅晶片制造晶体管的方法
KR100475050B1 (ko) 스페이서로보호되는박막의질화막라이너를갖는트렌치소자분리방법및구조
KR100368971B1 (ko) 에스오아이 소자의 게이트 및 그 제조방법
KR100713344B1 (ko) 반도체소자의 제조 방법
KR100475049B1 (ko) 박막의질화물라이너를갖는트렌치소자분리방법
CN100394552C (zh) 接触窗开口的形成方法与半导体元件的制造方法
KR100260357B1 (ko) 박막 트랜지스터 및 그 제조방법
CN1263116C (zh) 形成半导体器件的字线的方法
KR0147490B1 (ko) 스택 캐패시터의 제조방법
KR0140726B1 (ko) 반도체 소자의 제조방법
CN117116911A (zh) 半导体结构制备方法和半导体结构
KR100190362B1 (ko) 자기정렬 콘택홀 형성방법
KR100370162B1 (ko) 반도체 소자의 제조방법
KR100365416B1 (ko) 반도체소자의제조방법
JP2006080573A (ja) アクティブマトリクス基板の製法
KR20090106012A (ko) 반도체 소자 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171128

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171128

Address after: American New York

Patentee after: Core USA second LLC

Address before: New York grams of Armand

Patentee before: International Business Machines Corp.