CN1767157A - 微机电元件及其制造方法与p型沟道晶体管的制造方法 - Google Patents

微机电元件及其制造方法与p型沟道晶体管的制造方法 Download PDF

Info

Publication number
CN1767157A
CN1767157A CN200510096839.0A CN200510096839A CN1767157A CN 1767157 A CN1767157 A CN 1767157A CN 200510096839 A CN200510096839 A CN 200510096839A CN 1767157 A CN1767157 A CN 1767157A
Authority
CN
China
Prior art keywords
electric component
microcomputer electric
doped region
clearance wall
manufacture method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200510096839.0A
Other languages
English (en)
Other versions
CN100428427C (zh
Inventor
黄健朝
黄正权
杨富量
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1767157A publication Critical patent/CN1767157A/zh
Application granted granted Critical
Publication of CN100428427C publication Critical patent/CN100428427C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile

Abstract

本发明提供一微机电元件及其制造方法与P型沟道晶体管的制造方法。微机电元件的制造方法,是包含于半导体基底上形成一P型沟道晶体管,此方法乃通过于该基底上形成栅极结构且于基底内形成少量掺杂的源极/漏极区域。于邻近多晶硅栅极结构的相反侧壁形成氧化衬层与氮化物间隙壁,且于半导体基底的氧化衬层相反侧蚀刻出一凹蚀处。于氧化衬层上的任一侧形成凸起的硅锗源极/漏极区域,且于氧化衬层上形成一细薄间隙壁。在凸起的硅锗源极与漏极区域形成期间,一多晶硅栅极结构上的硬掩膜为用以保护复晶硅栅极结构。最后,于包含硅锗区域的基底中注入源极/漏极掺杂物。本发明可改善电性效能表现,并避免存在于元件的组成及/或材料间的冲突。

Description

微机电元件及其制造方法与P型沟道晶体管的制造方法
技术领域
本发明是有关美国专利号U.S.10/810,950,该篇专利于2004年3月25日申请,本篇专利其后说明是参考该篇美国专利。进一步的,本发明是涉及半导体制造领域,特别有关于微机电元件及其制造方法与P型沟道晶体管的制造方法。
背景技术
一集成电路(IC)是以量产制程而建构半导体基底上的一或多个元件,例如电路零组件。随着量产制造制程技术与材料改良,半导体元件的几何尺寸持续缩小,因此在几世纪前即首次导入这样的元件。例如,现行的制造流程为制造几何尺寸小于90纳米的元件(如微小零组件(或导线)可以此制程制造)。然而,元件及尺寸的缩减经常需要克服种种的挑战。
随着微机电元件尺寸逐渐缩小至65纳米以下,电性效率逐渐成为影响元件表现的重要课题。微机电元件合并的组成与材料会严重影响如电流增益之类的微机电元件效能。因此,有些冲突本质上会存在于现今微机电元件所使用的组成及/或材料之间。
据此,现今在该领域内所迫切需要的是能解决上述讨论课题的微机电元件及其制造方法。
发明内容
本发明的目的为提供一包含于外延层的源极与漏极区域,且配合形成一具不同梯度的掺杂区域,使电子空穴迁移率产生改变,进而达到改善电性效能表现的效果。
本发明的另一目的在于,在微机电元件尺寸缩小的情况下,仍能维持电性效率而不影像其他元件表现的微机电元件及其制造方法,以避免本质上会存在于微机电元件的组成及/或材料间的冲突。
本发明是提供微机电元件的制造方法,更特别地但不以此为限定,亦关于应变硅元件的制造方法。其是包含于半导体基底上形成P-沟道晶体管,该法是通过于该基底上形成栅极结构且于该基底内形成少量掺杂的源极/漏极区域。氧化衬层与氮化物间隙壁形成于邻近多晶硅栅极结构的相反侧壁且于该半导体基底的该氧化衬层相反侧蚀刻出一凹蚀处。于该氧化衬层上的任一侧形成凸起的硅锗源极与漏极区域,且于该氧化衬层上形成细薄间隙壁。在凸起的硅锗源极与漏极区域形成期间,以多晶硅栅极结构上的硬掩膜保护该复晶硅栅极结构。最后,注入源极/漏极掺杂物于含硅锗区域的基底中。
本发明提供一种微机电元件的制造方法,其包含于基底上形成栅极,并在基底上于邻近栅极处形成外延层,在邻近该栅极处形成细薄的间隙壁,最后于基底上形成一源极与漏极区域,其是包含于外延层之内。
本发明所述的微机电元件的制造方法,更包括:于形成该外延层之前,在一栅极上表面形成一硬掩膜;以及于形成该外延层之后,自该栅极的该上表面移除该硬掩膜。
本发明所述的微机电元件的制造方法,更包括于形成该外延层之前,在该栅极的侧表面处形成一粗厚间隙壁。
本发明所述的微机电元件的制造方法,该形成细薄间隙壁的步骤是包括于形成该外延层后或形成该源极与漏极区域前,蚀刻该粗厚间隙壁。
本发明所述的微机电元件的制造方法,更包括实质上于该间隙壁与该基底之上形成一钳止层。
本发明所述的微机电元件的制造方法,更包括于形成该粗厚间隙壁前注入一第一掺杂物。
本发明所述的微机电元件的制造方法,更包括:于形成该粗厚间隙壁前形成一氧化衬层;及当形成该细薄间隙壁时,留下近似相同宽度的该氧化衬层。
本发明所述的微机电元件的制造方法,该元件是为一P-沟道晶体管且该外延层为硅锗或硅。
本发明亦提供一种微机电元件,为于半导体基底上的栅极结构与提供选择性操作该半导体基底内的应变P-沟道;其包含源极与漏极区域,位于邻近该沟道且延伸至半导体基底的第一深度的第一掺杂区域,且位于邻近第一掺杂区域且延伸至半导体基底的第二深度的第二掺杂区域,与位于邻近第二掺杂区域且延伸至半导体基底的第三深度的第三掺杂区域,其中第二深度较第一深度为深,且第三深度较第二深度为深。
本发明所述的微机电元件,该源极与漏极区域是随该第三掺杂区域形成后进行退火制程。
本发明所述的微机电元件,该第三掺杂区域是包括一硅锗掺杂部分。
本发明所述的微机电元件,该栅极结构是包括一硅化物接触洞。
本发明所述的微机电元件,更包括在至少部分该栅极结构周围的一钳止层。
本发明所述的微机电元件,该第一掺杂区域为轻掺杂源极/漏极区域(LDD)。
本发明所述的微机电元件,更包括一具有第一部分与第二部分的L-型衬层,其中该第一部分为邻接该栅极结构,而第二部分为延伸超过该第二掺杂区域。
本发明所述的微机电元件,更包括一延伸超过该第一掺杂区域的一相当细薄的间隙壁。
本发明所述的微机电元件,该相当细薄的间隙壁具有一约350埃的宽度,且其中该第二掺杂区域具有自该相当细薄间隙壁之外端延伸300埃的宽度。
本发明还提供一种P型沟道晶体管的制造方法,所述P型沟道晶体管的制造方法是包括:于该基底上形成一多晶硅栅极结构;于该基底内形成一轻掺杂源极/漏极区域;于邻近该多晶硅栅极的相反侧壁形成一氧化衬层;于该半导体基底的该氧化衬层相反侧蚀刻出一下凹处;于该氧化衬层上的任一侧形成凸起的源极与漏极区域;于该氧化衬层上形成一细薄间隙壁;于包含该硅锗区域的该基底中注入一源极/漏极掺杂物;以及提供一多晶硅区域于该掺杂的硅锗区域。
本发明所述的P型沟道晶体管的制造方法,更包括:于形成该凸起的硅锗源极/漏极区域前,在一多晶硅栅极结构上形成一硬掩膜;及于形成该凸起的硅锗之后,自该栅极的该上表面移除该硬掩膜。
本发明所述的P型沟道晶体管的制造方法,该掺杂的硅锗区域是较该基底的掺杂区域深,其中该掺杂区域为介于该细薄间隙壁与该硅锗区域之间,且其中该掺杂是硅锗区域是较该细薄间隙壁下的该轻掺杂源极/漏极区域为深。
本发明所述微机电元件及其制造方法与P型沟道晶体管的制造方法,使电子空穴迁移率产生改变,进而达到改善电性效能表现的效果。并且在微机电元件尺寸缩小的情况下,仍能维持电性效率而不影像其他元件表现的微机电元件及其制造方法,以避免本质上会存在于微机电元件的组成及/或材料间的冲突。
附图说明
图1为一制程流程图,其绘示依据本发明的一或多个实施例中所使用的方法;
图2至图7为一剖面示意图,其绘示依据图1的方法所建构的部分微机电元件;
图8为一剖面示意图,其绘示依据本发明揭露所建构的微机电集成电路。
具体实施方式
请参考图1,根据本发明的一或多个具体实施例所述,方法10可用来制造微机电元件。举例而言,此方法10为描述如图2至图8所述的半导体集成电路100的制造方法。此制造方法10可用以形成如晶体管类的半导体微机电元件的“渐进接面”源极/漏极掺杂区域。如众所熟知,方法10仅表示部分的制程流程,且更如一般所了解,于部分实施例中,可重新排列该方法的某些特定步骤,或不需全然实施所有步骤。
请参考图2,根据本发明的实施例所述,方法10起始于步骤12以制造一微机电元件101。此元件101包含一基底102、一隔离结构104、一栅极层108、一电极110、及一硬掩膜111。
基底102为一半导体基底,此基底可为一包含硅的基底。该隔离区域104可包含二氧化硅(SiO2)、氮化硅(SixNy)、碳化硅(SiC)、低介电层、及/或其他材料。在一实施例中,可通过蚀刻抑或是在基底102中形成一凹蚀处,接着填入一或多层介电质以形成此隔离区域104。
形成栅极层108之后,接着形成大块栅极电极110,其中栅极层可为栅极氧化层,大块栅极电极可包含多晶硅层。在本发明的实施例,一硬掩膜层111为形成于此栅极电极110上。此硬掩膜层111的厚度(或高度)约为250埃,如图2所示,且其可包含氮化硅(SixNy)、二氧化硅(SiO2)、氮氧化硅(SON)、光致抗蚀剂、及/或其他材料。
如步骤14所述,于基底102形成掺杂区域106a。在此实施例中,掺杂区域106a为少量掺杂的源极/漏极(LDD)区域,其是于该基底102中注入相当浅的掺杂物。可通过化学气相沉积(CVD)、等离子辅助化学气相沉积(PECVD)、原子层沉积(ALD)、离子布植、及/或其他制程技术形成此LDD区域106a。举例来说,可在基底102上形成一牺牲氧化层,且于掺杂区域106a位置显开一图案区,接着施以一连续离子布植制程以形成此掺杂区域106a。在另一实施例中,此掺杂区域106a亦可选择性地以外延成长而形成。
为达此实施例的目的,该掺杂区域106a是包含诸如硼、氟化硼、铟、及/或其他材料的P型掺杂物。此P型掺杂物的形成可包含一或多种扩散、退火、及/或电性活化制程。接着于该两个掺杂区域106a内形成一沟道区域120。接续本实施例,此沟道区域120为P型沟道。
如图2所示,于栅极电极110的垂直侧边形成衬层112。在本实施例中。该衬层112是为L-型且包含由化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)、等离子辅助化学气相沉积(PECVD)、SEG、及/或其他制程技术形成的氧化介电层。接着,间隙壁114形成于衬层112的垂直侧边上。在本实施例中,间隙壁114为以氮为主的绝缘层,如氮化硅(SixNy)。在另一实施例中,该间隙壁114可包含二氧化硅(SiO2)、光致抗蚀剂、及/或其他聚合物。在本实施例中,该间隙壁114及该间隙壁112的底部是相当宽,其宽度S1约为650埃。
请参考图3与图1所示的步骤16。于该间隙壁114的任一侧上形成硅凹蚀处122。在本实施例中,移除掺杂区域116a的选择部分。在移除该掺杂区域116a的已曝光部分,位于衬层112与间隙壁114下方的部分该掺杂区域116a区域残留下来,其是以蚀刻硅、化学蚀刻、等离子蚀刻、或其他适当方法对该掺杂区域116a的曝光部分进行移除。
请参考图4与图1所示的步骤18。于图3所示的凹蚀处122内形成一外延层124,其介于掺杂区域116a与隔离区域104之间。此外延层124可包含硅锗(SiGe)。在其他实施例中该层是包含碳化硅(SiC)及/或其他外延材料。值得注意的是于此实施例中,硅锗并未累积于硬掩膜111或间隙壁114之上,乃借该外延层124使此微机电元件101成为“应变硅”元件。
请参考图5与图1所示的步骤20。部分蚀刻该间隙壁114以形成“细薄”间隙壁,其标示为114a。此细薄间隙壁114a的宽度为S2,其宽度小于S1(参见图2),薄是间隙壁114a的S2宽度大小应约为350埃。最后,衬层112的底部的外侧端超过细薄间隙壁114a的外侧端约300埃(650-350=300埃)。此细薄间隙壁114a可以化学蚀刻、干式蚀刻、等离子蚀刻、或其他制程技术制成。在一实施例中,其是通过磷酸(H3PO4)湿式蚀刻以形成该细薄间隙壁114a。
于形成该间隙壁114a的同时移除硬掩膜111,抑或在形成该间隙壁114a之前/后移除硬掩膜111,此硬掩膜111可通过化学蚀刻、等离子蚀刻、及/或其他制程技术移除。例如,以等离子蚀刻移除该硬掩膜111的制程而言,其是包含一具有如盐酸(HCI)、硼酸(HBr)、硫酸(SO2)、氟化硫(SF6)、全氟化碳、及/或其他气体等反应物的环境。
请参考图6与图1所示的步骤22,以源极/漏极离子布植204处理该掺杂区域106b。该离子布植204可包含传统离子束、等离子源离子注入、等离子源离子布植、及/或其他制程的离子布植技术。在本实施例中,离子布植204可包含P型掺杂物。在其他实施例中,该离子布植204可包含如磷、硼、锑、砷、碳、锗、及/或其他材料。本实施例更进一步推及,此离子布植浓度较掺杂区域106a离子布植浓度为浓。如一般所熟知地,可选用不同掺杂物及或调整其他掺杂物浓度以配合实验目的。在其他实施例中,可运用热扩散及/或借SEG、化学气相沉积(CVD)、等离子辅助化学气相沉积(PE CVD)、原子层沉积(ALD)、物理气相沉积(PVD)、及/或其他制程技术形成该离子布植204。
以此离子204形成一渐进式接面,此渐进式接面即以特定掺杂区域106a、106b、106c、及106d来描述。先前用以制造掺杂区域106a的离子布植及离子204的制程效果共同形成此掺杂区域106b的浓度。若先前制程与该离子204为使用与掺杂区域106b相同的掺杂物,则该掺杂区域106b可比掺杂区域106a具有相对更高的掺杂浓度,若先前制程与该离子204使用与掺杂区域106b不同的掺杂物,该掺杂区域106b即拥有自该两个制程步骤而制得的独特组合。
在本实施例中,如图5所示,该掺杂区域106d较先前的掺杂区域106a为深。在一实施例中,该离子204使用与先前形成掺杂区域106a制程所使用相同的掺杂物。在此实施例中,该掺杂区域106d提供一较深的渐进效应。如一般所知,虽然该渐进效应是如图6所描述以阶梯形式呈现,但事实上此渐进效应为更平缓,如同图8所示。
掺杂区域106c乃通过离子204注入外延层124上而形成。在本实施例中。硅锗层124允许离子204注入较掺杂区域106d浓度更深的区域。再者,离子204于硅锗层124中所产生的性质与注入于硅基底102(即注入于掺杂区域106d)的离子不同。如同一般所熟知,一些实施例中,部分离子204可延伸超过外延层124,进而扩散至基底102,或在其他实施例中,离子204并不会完全扩散至外延层内。因此,进一步了解,虽然该渐进效应是如图6所描述以阶梯形式呈现,但事实上该渐进效应为更平缓,如同图8所示。
请参考图7与图1所示的步骤24,晶体管元件101的栅极、源极、漏极、与一钳止层(clamping layer)118的连结皆已制备完成。在本实施例中,此连结是由一栅极硅化层116与漏极/源极硅化层126形成。此栅极硅化层116可包含一金属硅化物,如硅化钴(CoSix)、硅化钼(MoSix)、硅化镍(NiSix)、硅化钛(TiSix)、及/或其他材料。栅极硅化层116可通过微影、化学蚀刻、等离子蚀刻、化学气相沉积(CVD)、SEG、原子层沉积(ALD)、物理气相沉积(PVD)、及/或其他制程技术以形成。同样地,漏极/栅极硅化层126可包含形成于掺杂区域106c之内及/或之上的硅化物。栅极硅化层116及/或漏极/栅极硅化层126的形成可包含退火制程步骤。
钳止层118或“接触洞蚀刻停止层(CES)”可包含位于栅极硅化层116上的开口。此钳止层118可包含氮化硅(SixNy)、二氧化硅(SiO2)、氮氧化硅(SiON)、碳氧化硅(SiOC)、碳化硅(SiC)、及/或其他材料。在一些实施例中,钳止层118可位于掺杂区域106b及106c之上,且包含位于漏极/栅极硅化层126的开口。
钳止层118亦可提供拉深应力及/或压缩应力,其可影响该沟道区域120的晶格应力。可于钳止层118的形成期间通过制程参数控制钳止层118的拉深应力。压缩应力可导入钳止层118且亦可由制程参数控制。在一实施例中,可通过温度、制程气体流速、氮气含量、及/或其他制程相关参数来调整钳止层118的压缩及/或拉深应力。
根据步骤24的完成(参见图1),进行随后的制程可于栅极硅化层116与掺杂区域106c之上形成其他型态,其可包含一金属硅化物或一阻挡层的形成,此阻挡层是如氮化钽(TaN)、碳氧化硅(SiOC)、含有铜(Cu)或铝(Al)的内连线、低介电层、及/或其他层。一实施例中,微机电元件101可进行退火制程,接着于掺杂区域106b、106a、及106c之间形成该“渐进接面”。此退火制程可在掺杂区域106b、106a、及106c之间提供一平缓的过渡。
根据图8,于另一实施例中,一互补式微机电电路300(亦指互补式金属氧化半导体(CMOS)电路)是包含一基底302、一隔离区域304、微机电元件320与322,及一钳止层316a、316b、及316c。特别以图1的方法10所示的一或多个步骤制造该互补式金属氧化半导体(CMOS)电路300。如一般所熟知,其他步骤及/或层可依需要而制造,此为熟知此技术的人员所了解。
基底302可包含一或多个硅、砷化锗、氮化赭、应变硅、硅锗、碳化硅、碳化物、石墨及/或其他材料。基底302亦可包含一硅覆盖绝缘层结构(SOI)基底,如硅覆盖蓝宝石结构基底、硅锗覆盖绝缘层结构基底,或其他于绝缘层上包含外延半导体层的基底。于一实施例中,基底302可进一步包含一完全注入的SOI基底,其中该元件活化硅的厚度乃涵盖约200nm至约5nm的范围。在另一实施例中,该基底302可包含一空气介层以提供绝缘体予该微机电元件300。举例而言,可施行制造一“硅覆盖于无物件(SON)”结构,其中该微机电元件300是包含一以空气及/或其他绝缘体形成的细薄绝缘层。
隔离区域304可包含浅沟槽隔离结构(STI)、硅的局部氧化(LOCOS)、及/或其他电性隔离型态。此隔离区域304可包含二氧化硅(SiO2)、氮化硅(SixNy)、碳化硅(SiC)、低介电材料、及/或其他材料。在一实施例中,隔离区域304可通过蚀刻或在基底302中形成一凹蚀处,且接着填入一或多层介电材料。
微机电元件320与322亦可包含一或多层或通过本揭露范围的该微机电电路300可推及的其他型态,且可通过使用光微影技术、无光罩微影技术、压印微影技术、SEG、化学气相沉积(CVD)、物理气相沉积(PVD)、等离子辅助气相沉积(PECVD)、原子层沉积(ALD)、Langmuir-Blodgett(LB)分子自组成膜技术、化学机械研磨或化学机械平坦化技术(其后简称为CMP)、及/或其他制程技术形成。可用传统及/或进一步发展的微影、蚀刻、及/或其他制程以形成微机电元件100。
微机电元件320及/或322可个别包含一N型金属氧化半导体(NMOS)元件及/或P型金属氧化半导体(PMOS)元件。半导体元件320及322可包含同上所述实质上与微机电元件100相同的部分。举例而言,栅极层308、衬层312、间隙壁314、及整块栅极电极310是实质上与上述的该栅极层108、衬层112、间隙壁114a、及整块栅极电极110具有相同的组成。
在本实施例中,参考上述的图4至图6,以在源极与漏极区域上的外延层形成元件322。相反地,元件320并非以上述的外延层形成。最终,元件322是包含掺杂区域306a、306b、306c、及306d的三步骤渐进式形成制程,而该元件320则包含掺杂区域306a、306b、306c、及306d两步骤渐进式形成制程。如一般所熟知,由于该元件320与322并非为相同型态,使用该不同的掺杂物以形成该306a至306d层,这样的掺杂物选择可为一般本领域技术人员熟知。
以上所述仅为本发明较佳实施例,然其并非用以限定本发明的范围,任何熟悉本项技术的人员,在不脱离本发明的精神和范围内,可在此基础上做进一步的改进和变化,因此本发明的保护范围当以本申请的权利要求书所界定的范围为准。
附图中符号的简单说明如下:
10:方法
12,14,16,18,20,22,24:步骤
100:集成电路
101:元件
102,302:基底
104,304:隔离区域
106a,106b,106c,106d,306a,306b,306c,306d:掺杂区域
108,308:栅极层
110,310:电极
111:硬掩膜
112,312:衬层
114,314:间隙壁
114a:细薄间隙壁
116:栅极接触洞
118:钳止层
120:沟道区域
122:凹蚀处
124:外延层
126:漏极/源极接触洞
204:离子布植掺杂物
300:互补式集成电路
320,322:微机电元件
316a,316b,316c:钳止层

Claims (20)

1.一种微机电元件的制造方法,所述微机电元件的制造方法是包括:
于一基底上形成一栅极;
于该基底的邻近该栅极处形成一外延层;
于邻近该栅极处形成一细薄间隙壁;以及
于该基底上形成一源极与漏极区域,其是包含于该外延层之内。
2.根据权利要求1所述的微机电元件的制造方法,其特征在于更包括:
于形成该外延层之前,在一栅极上表面形成一硬掩膜;以及
于形成该外延层之后,自该栅极的该上表面移除该硬掩膜。
3.根据权利要求1所述的微机电元件的制造方法,其特征在于:更包括于形成该外延层之前,在该栅极的侧表面处形成一粗厚间隙壁。
4.根据权利要求1所述的微机电元件的制造方法,其特征在于:该形成细薄间隙壁的步骤是包括于形成该外延层后或形成该源极与漏极区域前,蚀刻该粗厚间隙壁。
5.根据权利要求1所述的微机电元件的制造方法,其特征在于:更包括于该间隙壁与该基底之上形成一钳止层。
6.根据权利要求3所述的微机电元件的制造方法,其特征在于:更包括于形成该粗厚间隙壁前注入一第一掺杂物。
7.根据权利要求3所述的微机电元件的制造方法,其特征在于更包括:
于形成该粗厚间隙壁前形成一氧化衬层;及
当形成该细薄间隙壁时,留下相同宽度的该氧化衬层。
8.根据权利要求1所述的微机电元件的制造方法,其特征在于:该元件是为一P-沟道晶体管且该外延层为硅锗或硅。
9.一种微机电元件,所述微机电元件是包括:
一于半导体基底上的栅极结构且其提供选择性操作该半导体基底内的一应变P-沟道;
源极与漏极区域,其是包括:
一位于邻近该沟道且延伸至该半导体基底的一第一深度的第一掺杂区域;
一位于邻近该第一掺杂区域且延伸至该半导体基底的一第二深度的第二掺杂区域,其中该第二深度较第一深度为深;以及
一位于邻近该第二掺杂区域且延伸至该半导体基底的一第三深度的第三掺杂区域,其中该第三深度较第二深度为深。
10.根据权利要求9所述的微机电元件,其特征在于:该源极与漏极区域是随该第三掺杂区域形成后进行退火制程。
11.根据权利要求9所述的微机电元件,其特征在于:该第三掺杂区域是包括一硅锗掺杂部分。
12.根据权利要求9所述的微机电元件,其特征在于:该栅极结构是包括一硅化物接触洞。
13.根据权利要求9所述的微机电元件,其特征在于:更包括在至少部分该栅极结构周围的一钳止层。
14.根据权利要求9所述的微机电元件,其特征在于:该第一掺杂区域为轻掺杂源极/漏极区域。
15.根据权利要求9所述的微机电元件,其特征在于:更包括一具有第一部分与第二部分的L-型衬层,其中该第一部分为邻接该栅极结构,而第二部分为延伸超过该第二掺杂区域。
16.根据权利要求15所述的微机电元件,其特征在于:更包括一延伸超过该第一掺杂区域的一相当细薄的间隙壁。
17.根据权利要求16所述的微机电元件,其特征在于:该相当细薄的间隙壁具有一约350埃的宽度,且其中该第二掺杂区域具有自该相当细薄间隙壁之外端延伸300埃的宽度。
18.一种P型沟道晶体管的制造方法,所述P型沟道晶体管的制造方法是包括:
于该基底上形成一多晶硅栅极结构;
于该基底内形成一轻掺杂源极/漏极区域;
于邻近该多晶硅栅极的相反侧壁形成一氧化衬层;
于该半导体基底的该氧化衬层相反侧蚀刻出一下凹处;
于该氧化衬层上的任一侧形成凸起的源极与漏极区域;
于该氧化衬层上形成一细薄间隙壁;
于包含该硅锗区域的该基底中注入一源极/漏极掺杂物;以及
提供一多晶硅区域于该掺杂的硅锗区域。
19.根据权利要求18所述的P型沟道晶体管的制造方法,其特征在于更包括:
于形成该凸起的硅锗源极/漏极区域前,在一多晶硅栅极结构上形成一硬掩膜;及
于形成该凸起的硅锗之后,自该栅极的该上表面移除该硬掩膜。
20.根据权利要求18所述的P型沟道晶体管的制造方法,其特征在于:该掺杂的硅锗区域是较该基底的掺杂区域深,其中该掺杂区域为介于该细薄间隙壁与该硅锗区域之间,且其中该掺杂是硅锗区域是较该细薄间隙壁下的该轻掺杂源极/漏极区域为深。
CNB2005100968390A 2004-09-09 2005-09-09 微机电元件及其制造方法 Active CN100428427C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/937,722 US7135372B2 (en) 2004-09-09 2004-09-09 Strained silicon device manufacturing method
US10/937,722 2004-09-09

Publications (2)

Publication Number Publication Date
CN1767157A true CN1767157A (zh) 2006-05-03
CN100428427C CN100428427C (zh) 2008-10-22

Family

ID=35996797

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100968390A Active CN100428427C (zh) 2004-09-09 2005-09-09 微机电元件及其制造方法

Country Status (3)

Country Link
US (2) US7135372B2 (zh)
CN (1) CN100428427C (zh)
TW (1) TW200610066A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104835737A (zh) * 2014-02-07 2015-08-12 无锡华润上华半导体有限公司 半导体器件及其制作方法
CN107615043A (zh) * 2015-04-02 2018-01-19 粒子监测系统有限公司 粒子计数仪器中的激光器噪声检测和缓解

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
US7238985B2 (en) * 2003-08-13 2007-07-03 International Rectifier Corporation Trench type mosgated device with strained layer on trench sidewall
US20050090082A1 (en) * 2003-10-28 2005-04-28 Texas Instruments Incorporated Method and system for improving performance of MOSFETs
US7244654B2 (en) * 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
US7247535B2 (en) * 2004-09-30 2007-07-24 Texas Instruments Incorporated Source/drain extensions having highly activated and extremely abrupt junctions
JP2006173538A (ja) * 2004-12-20 2006-06-29 Oki Electric Ind Co Ltd 半導体装置
US7320921B2 (en) * 2005-03-22 2008-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Smart grading implant with diffusion retarding implant for making integrated circuit chips
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7498642B2 (en) * 2005-04-25 2009-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Profile confinement to improve transistor performance
US20060286730A1 (en) * 2005-06-15 2006-12-21 Liu Alex Liu Yi-Cheng Semiconductor structure and method for forming thereof
US20060284249A1 (en) * 2005-06-21 2006-12-21 Chien-Hao Chen Impurity co-implantation to improve transistor performance
JP2007157870A (ja) * 2005-12-02 2007-06-21 Renesas Technology Corp 半導体装置及びその製造方法
US7741699B2 (en) * 2006-06-09 2010-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having ultra-shallow and highly activated source/drain extensions
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
KR100798814B1 (ko) * 2006-09-20 2008-01-28 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
KR100764058B1 (ko) * 2006-09-20 2007-10-09 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US7622344B2 (en) * 2007-07-17 2009-11-24 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistors
US20090186475A1 (en) * 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US7927963B2 (en) * 2008-08-07 2011-04-19 International Business Machines Corporation Integrated circuit structure, design structure, and method having improved isolation and harmonics
US7804151B2 (en) * 2008-08-07 2010-09-28 International Business Machines Corporation Integrated circuit structure, design structure, and method having improved isolation and harmonics
DE102008049725B4 (de) * 2008-09-30 2012-11-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit NMOS-Transistoren und PMOS-Transistoren mit stärkeren verformungsinduzierenden Quellen und Metallsilizidgebieten mit geringem Abstand und Verfahren zur Herstellung des Bauelements
US8361847B2 (en) 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
KR101908451B1 (ko) 2012-06-04 2018-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
JP6246664B2 (ja) * 2014-06-04 2017-12-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TWI548039B (zh) * 2015-03-17 2016-09-01 力晶科技股份有限公司 半導體裝置的製作方法
KR102543178B1 (ko) 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10707352B2 (en) * 2018-10-02 2020-07-07 Qualcomm Incorporated Transistor with lightly doped drain (LDD) compensation implant
KR20200115762A (ko) 2019-03-25 2020-10-08 삼성전자주식회사 반도체 소자
US11699702B2 (en) * 2020-04-27 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Input/output devices
US11450768B2 (en) 2020-10-05 2022-09-20 Sandisk Technologies Llc High voltage field effect transistor with vertical current paths and method of making the same
US11978774B2 (en) 2020-10-05 2024-05-07 Sandisk Technologies Llc High voltage field effect transistor with vertical current paths and method of making the same
TWI738568B (zh) * 2020-11-18 2021-09-01 汎銓科技股份有限公司 一種故障分析用的半導體試片的製備方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079180A (en) * 1988-12-22 1992-01-07 Texas Instruments Incorporated Method of fabricating a raised source/drain transistor
FR2654258A1 (fr) * 1989-11-03 1991-05-10 Philips Nv Procede pour fabriquer un dispositif a transistor mis ayant une electrode de grille en forme de "t" inverse.
DE69121535T2 (de) * 1990-12-07 1997-01-02 At & T Corp Feldeffekttransistor mit inverser T-förmiger Silizid-Torelektrode
DE19625461C2 (de) * 1996-06-26 2000-06-21 Martin Diestelhorst Verfahren zur Umwandlung von Infrarotstrahlung in elektrische Signale mit hochwirksamen Verstärkerprinzip
US6023082A (en) 1996-08-05 2000-02-08 Lockheed Martin Energy Research Corporation Strain-based control of crystal anisotropy for perovskite oxides on semiconductor-based material
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6160299A (en) * 1997-08-29 2000-12-12 Texas Instruments Incorporated Shallow-implant elevated source/drain doping from a sidewall dopant source
US6696346B2 (en) * 1997-12-24 2004-02-24 Rohm Co., Ltd. Method of manufacturing semiconductor device
US6130123A (en) * 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000195872A (ja) * 1998-12-28 2000-07-14 Fujitsu Quantum Device Kk 半導体装置及びその製造方法
US6187642B1 (en) * 1999-06-15 2001-02-13 Advanced Micro Devices Inc. Method and apparatus for making mosfet's with elevated source/drain extensions
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US6495402B1 (en) * 2001-02-06 2002-12-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device having source/drain silicon-germanium regions and method of manufacture
US6489206B2 (en) * 2001-03-22 2002-12-03 United Microelectronics Corp. Method for forming self-aligned local-halo metal-oxide-semiconductor device
US6596599B1 (en) * 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
KR100406537B1 (ko) * 2001-12-03 2003-11-20 주식회사 하이닉스반도체 반도체장치의 제조 방법
US6723609B2 (en) * 2002-02-04 2004-04-20 United Microelectronics Corp. Method of preventing leakage current of a metal-oxide semiconductor transistor
US6498067B1 (en) * 2002-05-02 2002-12-24 Taiwan Semiconductor Manufacturing Company Integrated approach for controlling top dielectric loss during spacer etching
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6689688B2 (en) * 2002-06-25 2004-02-10 Advanced Micro Devices, Inc. Method and device using silicide contacts for semiconductor processing
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6699755B1 (en) * 2003-03-24 2004-03-02 Powerchip Semiconductor Corp. Method for producing a gate
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6929992B1 (en) * 2003-12-17 2005-08-16 Advanced Micro Devices, Inc. Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift
US7112497B2 (en) * 2004-06-25 2006-09-26 Texas Instruments Incorporated Multi-layer reducible sidewall process

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104835737A (zh) * 2014-02-07 2015-08-12 无锡华润上华半导体有限公司 半导体器件及其制作方法
CN104835737B (zh) * 2014-02-07 2018-09-04 无锡华润上华科技有限公司 半导体器件及其制作方法
CN107615043A (zh) * 2015-04-02 2018-01-19 粒子监测系统有限公司 粒子计数仪器中的激光器噪声检测和缓解
CN107615043B (zh) * 2015-04-02 2020-08-18 粒子监测系统有限公司 粒子计数仪器中的激光器噪声检测和缓解

Also Published As

Publication number Publication date
US20070075356A1 (en) 2007-04-05
US8569845B2 (en) 2013-10-29
CN100428427C (zh) 2008-10-22
TWI328843B (zh) 2010-08-11
TW200610066A (en) 2006-03-16
US7135372B2 (en) 2006-11-14
US20060051922A1 (en) 2006-03-09

Similar Documents

Publication Publication Date Title
CN1767157A (zh) 微机电元件及其制造方法与p型沟道晶体管的制造方法
KR102113114B1 (ko) N-도핑된 선택적 에피택셜 성장을 사용하여 nmos 핀펫에 비-가시선 소스 드레인 연장부 형성
US8106468B2 (en) Process for fabricating silicon-on-nothing MOSFETs
CN1294648C (zh) 制造多重阈值的方法和工艺
US9029226B2 (en) Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
KR101716113B1 (ko) 반도체 소자 및 이의 제조 방법
US7060579B2 (en) Increased drive current by isotropic recess etch
CN100345280C (zh) 具有晶格不相称区的变形沟道晶体管结构及其制造方法
US8361895B2 (en) Ultra-shallow junctions using atomic-layer doping
CN101075562A (zh) 制造晶体管结构的方法
TW201137985A (en) Multi-gate semiconductor device with self-aligned epitaxial source and drain
JP5915181B2 (ja) 半導体装置およびその製造方法
CN1797786A (zh) 半导体元件及其制造方法
JPWO2005122276A1 (ja) 半導体装置及びその製造方法
CN1577890A (zh) 具有凸起的结区域的pmos晶体管应变最优化
US20080017931A1 (en) Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
US10026641B2 (en) Isolation structure of semiconductor device
US20150221768A1 (en) Semiconductor structure and method for manufacturing the same
CN105448679A (zh) 半导体器件的形成方法
CN101030541A (zh) 半导体晶体管元件及其制作方法
CN1518127A (zh) 在源和漏区下面具有缓冲区的金属氧化物半导体(mos)晶体管及其制造方法
JP2008218725A (ja) 半導体装置とその製造方法
CN1967790A (zh) 移除栅极上的金属硅化物层的方法及蚀刻方法
CN101047131A (zh) 绝缘栅型场效应晶体管的制造方法
CN1761072A (zh) 晶体管及形成应变沟道元件的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant