TWI328843B - - Google Patents

Download PDF

Info

Publication number
TWI328843B
TWI328843B TW094131080A TW94131080A TWI328843B TW I328843 B TWI328843 B TW I328843B TW 094131080 A TW094131080 A TW 094131080A TW 94131080 A TW94131080 A TW 94131080A TW I328843 B TWI328843 B TW I328843B
Authority
TW
Taiwan
Prior art keywords
forming
layer
region
doped region
gate
Prior art date
Application number
TW094131080A
Other languages
English (en)
Other versions
TW200610066A (en
Inventor
Chien Chao Huang
Chengchuan Huang
Fu Liang Yang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW200610066A publication Critical patent/TW200610066A/zh
Application granted granted Critical
Publication of TWI328843B publication Critical patent/TWI328843B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

1328843 - 第94131080號專利說明書修正本 修正日期:98.3.27 九、發明說明: 【發明所屬之技術領域】 本發明係有關美國專利號U.S. 10/810,950,該篇專 利於2004年3月25曰申請,本篇專利其後揭露者係參 考該篇美國專利。 【先前技術】 ' 一積體電路(1C)係以量產製程而建構半導體基底上 之一或多個元件,例如電路零組件。隨著量產製造製程 技術與材料改良’半導體元件之幾何尺寸持績縮小’因 此在幾世紀前即首次導入這樣的元件。例如,現行的製 造流程為製造幾何尺寸小於90奈米的元件(如微小零組 件(或導線)可以此製程製造)。然而,元件及尺寸的縮減 經常需要克服種種的挑戰。 隨著微機電元件尺寸逐漸縮小至65奈米以下,電性 效率逐漸成為影響元件表現的重要課題。微機電元件合 併之組成與材料會嚴重影響如電流增益之類的微機電元 件效能。因此,有些衝突本質上會存在於現今微機電元 件所使用之組成及/或材料之間。 據此,現今在該領域内所迫切需要的是能解決上述 討論課題之微機電元件及其製造方法。 【發明内容】 本發明係提供微機電元件之製造方法,更特別地但 不以此為限定者,亦關於應變矽元件之製造方法。其係 0503-A30971 TWF2/garycheng 6 1328843 第94131080號專利說明書修正本 修正日期:98.3.27 包含於半導體基底上形成p_通道電晶體,該法乃藉由於 該基底上形成閘極結構且於縣底内形成少量摻^调 極/汲極區域。氧化襯層與氮化物間隙壁形成於鄰近多晶、 石夕閘極結構之相反側壁且於該半導體基底之該氧化^ 相反側钱刻出-凹域。於該氧化襯層上之任—^ 極區域,且於該氧化襯層上形成細 ,壁。在凸起的石夕錯源極與汲極區域形成期間,以 ^晶矽閘極結構上之硬罩冪保護該複晶矽閘極結構。最 後,植入源極/汲極摻雜物於含矽鍺區域之基底中。 _於一實施财,-㈣機電元件的製造方法,其包 ::基閘極’並在基底上於鄰近閘極處形成磊 =成=間極處形成細薄的間隙壁,最後於基底 场成二:、極與沒極區域’其係包含於羞晶層之内。 =Λ%例中,亦介紹—種微機電元件,為於半導 體基底上之_結構與提供卿轉作料導體基底内 之應變Ρ-通道;其包含源極 ' ^ 洁曰以仙s丄* 愿極興及極區域,位於鄰近該通 逞且延伸至半導體基底之 柑於郝W结^ -乐/衣度的弟一#雜區域’且 的區域且延伸至半導體基底之第二深度 導俨其总夕》 /、位於郇近弟二摻雜區域且延伸至半 導“底之弟三深度的第三摻 弟一深度為深,且第三深度較第二深度為深 【實施方式】 請參考第1目’根據本發明的-或多個具體實施例 〇503.A30971TWF2/garycheng 7 1328843 第94131080號專利說明書修正本 戶斤诚,士、+ 1 λ 修正日期:98.3.27 法了。為描述如件。舉例而言,此方 方法。此製造方法= ^ =件之,,漸進接面,,源極/==:= 、方法1〇僅表示部分的製程流程,且更如 , 於部分實施例中,可重新雜列綠十、更如—般所瞭解, 或不需全然實施所有步驟。…会的某些特定步驟, 5月茶考第2圖,根據本發明的 起始於步驟12以製造1貝施例所述,方法10 含-美底⑻電疋件1〇1。此元件⑻包 二基底:2、一隔離區域1〇4、—閑極 110、及一映罩冪111。 毛拉 基底102為一半導體基底,此基底 基底。該隔離區域104可包含二—'、’、匕3矽之 (⑽y)、碳切(Sic —:上叫)、氮化石夕 痒絲彻由 叶 低;丨电層、及/或其他材料。在一 二 ,可藉由蝕刻抑或是在基底1〇2 處’接著填入-或多層介電質以形成此心域成 成間極層108之後’接著形成大塊間極電極 夕曰、中閘極層可為閘極氧化層,大塊閘極電極 層。在本發明之實施例’一硬罩幕,⑴為形成 。此硬罩幕層111的厚度(或高度) 如弟2圖所示’且其可包含氣化石夕⑸為)、 -乳切⑸⑹、氮氧切(s⑽)、絲、及/或其他材料。 如步驟14所述,於基底1〇2形成摻雜區域n 在此貫施财,摻純域购為少量_之源極/沒極 0503.A30971TWF2/gai7Cheng 8 1328843 第9413_號專利說明書修正本 修正曰期⑽刀 (LDD)區域’其係於該基底1〇2中植入相#淺的播雜物。 (PECVD)、原子層沉積(ALD)、離子佈植、及/或其他 技術形成此LDD區域106a。舉例來說,可在基底1〇2上 形成-^牲氧化層,且於推雜區域黯位置顯開一圖案 接:施以;連續離子佈植製程以形成此摻雜區域 a ^ 例巾’此摻雜區域lG6a亦可選擇性地 以猫日日成長而形成。 為達此實施例之目的,該摻雜區域10 6 a係包含諸如 =氣化领,、及/或其他材料 :二, 型摻雜物之形成可包含一或多 此P- 、'舌仆制如次夕種擴政、退火、及/或電性 雜區域黯内形成—通道區 -:接、,本貫施例,此通道區域12〇為?·型通道。 声二弟2圖又示,於閘極電極11〇之垂直側邊形成概 貝她例中。該襯層112係為L,且包含由 化學氣相沈積(CVD)、物理氣相沉積(pvD)、原子声、= (ALD)、電漿辅助化學氣相 曰;立 他製程技術形成之氧化介電屬。接著,' = 於襯層112之垂 :一 114形成 % ^ ^ 在本貫施例中’間隙壁114 為以乳為主的絕緣層’如 中,該間隙壁114可包含-气flxNy)在另一貫施例 i他聚人物/ 士 — 3一虱化矽(Si〇2)、光阻、及/或 一他t σ物。在本貫施 U2之底部係相當寬,^中為間隙壁114及該間隙壁 請參考第3圖與第二;/:約為650埃。 圖斤示之步驟16。於該間隙壁 〇503-A30971TWF2/garycheng 9 1328843 第94131080號專利說明書修正本 修正日期:98.3.27 1:之任-側上形成石夕凹域122。在本實施例中,移除 摻雜區域1〇6a的選擇部分。在移除該接雜區域咖之 已曝光部分,位在襯層112與間隙壁114下 =區域购區域殘留下來,其細細㈣兹刀二
Si::除或其他適當方法對該推雜區祕的曝光部 —請參^第4圖與第!圖所示之步驟18。 1:之1 内形成一㈣124,其介於接雜區‘ _與隔離區域104之間。此蟲晶物可包含石夕錯 =:其,例中該層係包一 積;:二 注意的是於此實施例中,梦錯並未累
積於硬罩拳111或間隙壁114之上HP 使此微機電元件101成為,,應變矽,,元件。 aa θ 請參考第5圖與第i圖所示之步驟2〇。部編” =114以形成,,細薄,,間隙壁,其標示為114a。此细 溥間隙壁ma之寬度為S2,其寬度 、, 薄係間隙壁114a之^官洚士,處 “視弟2圖), 居⑴夕η 2見度大小應約為35〇埃。最後,襯 3:垃:外側端超過細薄間隙壁U4a之外側端約 。=。了埃)。此細薄間隙壁_二= d乾式蝕刻、電漿蝕刻、或其他 實施例中’其係藉由蝴物式 間隙壁114a。 d以形成該細溥 於形成該間隙壁114a之同時 在形成該間隙壁丨14a夕义# Μ 文皁拳111 ,抑或 14a之別/後移除硬罩冪11卜此硬罩冪 〇503-A3097〗TWF2/gaiyeheng 10 1328843 - 第94131080號專利說明書修正本 修正日期:98.3.27 111可藉由化學蝕刻、電漿蝕刻、及/或其他製程技術移 除。例如,以電漿钱刻移除該硬罩冪1π的製程而言, 其係包含一具有如鹽酸(HCI)、硼酸(HBr))、硫酸(S02)、 氟化硫(SF6)、全氟化碳、及/或其他氣體等反應物之環境。 請參考第6圖與第1圖所示之步驟22,以源極汲極 . 離子佈植204處理該摻雜區域106b。該離子佈植204可 ' 包含傳統離子束、電漿源離子注入、電漿源離子佈植、 • 及/或其他製程之離子佈植技術。在本實施例中,離子佈 植204可包含P-型摻雜物。在其他實施例中,該離子佈 植204可包含如磷、硼、銻、砷、碳、鍺、及/或其他材 料。本實施例更進一步推及,此離子佈植濃度較摻雜區 域106a離子佈植濃度為濃。如一般所熟知地,可選用不 同摻雜物及或調整其他摻雜物濃度以配合實驗目的。在 其他實施例中,可運用熱擴散及/或藉SEG、化學氣相沈 積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積 (ALD)、物理氣相沉積(PVD)、及/或其他製程技術形成該 離子佈植204。 以此離子佈值204形成一漸進式接面,此漸進式接 面即以特定摻雜區域l〇6a、106b、106c、及106d來描述。 先前用以製造摻雜區域l〇6a之離子佈植204及其製程效 果共同形成此摻雜區域l〇6b之濃度。若先前製程與該離 子佈值204為使用與摻雜區域106b相同的摻雜物,則該 摻雜區域106b可比摻雜區域106a具有相對更高的摻雜 濃度,若先前製程與該離子佈值204使用與摻雜區域106b 0503-A30971 TWF2/garycheng 11 ii28843 第94131080號專利說明書修正本 术因沾协故修正日期:98.3.27 驟而制二該摻雜區域1〇6b即擁有自該兩個f程步 驟而製4的的獨特組合。 似衣柱v 在本貫施例中,如第$ — 先前之摻雜區域i 06a為深二一:例:區域106d較 _使用與先前形成摻雜區域在1〇6 雜物。在此〜“ 坺购衣域使用相同的摻 料庙 例中,該摻雜區域胸提供—較深之漸 如—般所知,雖然該漸進效應係如第6 述以階梯形式目如古 丁那弟6圖所描 同第8圖所示。事實上此漸進效應為更平缓,如 上而形成。在本,M2G4植人遙晶層124 洁入〜本員施例中。蠢晶層⑶允許離子佈值2〇4 綱^ 嶋濃度更深的區域。再者,離子佈值 植入;「〜4中所產生的性質與植人於㈣底102(即 區物)之離子不同。如同一般所熟知,(」 列中’部分離子佈㈣4可延伸超過蟲晶層124, 進而擴散至基底1〇2,戋在苴侦趣 士 並不合完全料5石曰 例中’離子佈值204 θ π王擴放至蟲晶層内。因此 =效應係如第6圖所描述以階梯形式 。漸進效應為更平缓’如同第8圖所示。 ιοί Γ二考弟7圖與第1圖所示之步驟24,電晶體元件 之連ΐ 1源極、汲極、與"~甜止層(damping lay er) 118 1ή W備完成。在本實施例中,此連結係由—間 二化層116與汲極/源極矽化層126形成。此閘極矽化 曰6可包含一金屬石夕化物,如石夕化始(⑽ο、石夕化鉬 〇503-A3097lTWF2/gaiycheng 12 1328843 第94131080號專利說明書修正本 修正日期:98.3 27 (M〇Six)、矽化鎳(NlSix)、矽化鈦(Tisix)、及/或其他· 閘極石夕化们16可藉由微影、化學㈣、電漿_ 學氣相沈積(CVD)、SEG、料層沉積(ALD)、物理 沉積(刚)、及/或其他製程技術以形成。同樣地,=目/ 閘極矽化層126可包含形成於摻雜區域106c之内及/或 上㈣化物。開極魏層116及/或沒極/閘極梦化層 之形成可包含退火製程步驟。 鉗止層118或”接觸洞蝕刻停止層(C£s),,可 閘極石夕化層U6上之開σ。此钳止層118可包含氮化石夕 (=Ny)二氧切(SiQ2)、氮氧㈣(s趣)、絲化石夕 (!〇(:)、碳切(SiQ、及/或其他材料。在—些實施例 中,鉗止層118可位於摻雜區域1〇补及1〇6c之上,且 包含位於汲極/閘極矽化層126之開口。 鉗止層Π8亦可提供拉深應力及/或壓縮應力,盆 影響該通道區域120之晶格應力。可於甜^ ιΐ8 ^形 成期間藉由製程參數控制钳止層118之拉深應力。壓縮 應力可導人甜止層118且亦可由製程參數控制。在一實 鈿例中’可藉由溫度、製程氣體流速、氮氣含量 '及/或 其他製程相關參數來調整鉗止層118之壓縮及/或拉深應 根據步驟24的完成(視» 1圖),進行隨後的製程可 於問極梦化層116與摻雜區域驗之上形成其他型態, =包含-金屬料物或—_層之形成,此阻撞層係 氣化1一(TaN)、石厌氧化石夕(Sioc)、含有銅(Cu)或紹(A1) 0503-A3 0971 TWF2/garycheng 13 1328843 ' 第94131080號專利說明書修正本 修正日期·· 98.3.27 之内連線、低介電層、及/或其他層。一實施例中,微機 電元件300可進行退火製程,接著於摻雜區域106b、 106a、及106c之間形成該”漸進接面”,如第8圖所示。 此退火製程可在摻雜區域l〇6b、106a、及106c之間提供 一平緩的過渡。 . 根據第8圖,於另一實施例中,一互補式微機電電 ; 路300(亦指互補式金屬氧化半導體(CMOS)電路)係包含 • 一基底302、一隔離區域304、微機電元件320與322, 及一鈷止層316a、316b、及316c。特別以第1圖的方法 10所示之一或多個步驟製造該互補式金屬氧化半導體 (CMOS)電路300。如一般所熟知,其他步驟及/或層可依 需要而製造,此為熟習此技藝之人士所瞭解。 基底302可包含一或多個矽、砷化鍺、氮化赭、應 變石夕、石夕錯、碳化石夕、碳化物、石墨及/或其他材料。基 底102亦可包含一矽覆蓋絕緣層結構(SOI)基底,如矽覆 蓋藍寳石結構基底、矽鍺覆蓋絕緣層結構基底,或其他 於絕緣層上包含磊晶半導體層之基底。於一實施例中, 基底302可進一步包含一完全植入之SOI基底,其中該 元件活化石夕之厚度乃涵蓋約200 nm至約5 nm之範圍。 在另一實施例中,該基底302可包含一空氣介層以提供 絕緣體予該互補式微機電電路300。舉例而言,可施行製 造一「矽覆蓋於無物件(SON)」結構,其中該互補式微 機電電路300係包含一以空氣及/或其他絕緣體形成之細 薄絕缘層。 0503-A30971 TWF2/garycheng 14 1328843 - 第94131080號專利說明書修正本 修正日期:98.3.27 隔離區域304可包含淺溝槽隔離結構(STI)、矽的局 部氧化(LOCOS)、及/或其他電性隔離型態。此隔離區域 304可包含二氧化矽(Si02)、氮化矽(SixNy)、碳化矽 (SiC)、低介電材料、及/或其他材料。在一實施例中,隔 離區域304可藉由蝕刻或在基底302中形成一凹蝕處, . 且接著填入一或多層介電材料。 ' 微機電元件320與322亦可包含一或多層或藉由本 • 揭露範圍之該微機電電路300可推及之其他型態,且可 藉由使用光微影技術、無光罩微影技術、壓印微影技術、 SEG、化學氣相沈積(CVD)、物理氣相沈積(PVD)、電漿 輔助氣相沈積(PECVD)、原子層沈積(ALD)、 Langmuir-Blodgett(LB)分子自組成膜技術、化學機械研磨 或化學機械平坦化技術(其後簡稱為CMP)、及/或其他製 程技術形成。可用傳統及/或進一步發展的微影、蝕刻、 及/或其他製程以形成積體電路1〇〇。 微機電元件320及/或322可個別包含一 N型金屬氧 化半導體(NM0S)元件及/或P型金屬氧化半導體(PM0S) 元件。半導體元件320及322可包含同上所述實質上與 積體電路100相同的部分。舉例而言,閘極層308、襯層 312、間隙壁314、及整塊閘極電極310係實質上與上述 之該閘極層108、襯層112、間隙壁114a、及整塊閘極電 極11 〇具有相同之組成。 在本實施例中,同上述之第4-6圖參考圖,以在源極 與没極區域上之蠢晶層形成元件322。相反地,元件320 0503-A30971 TWF2/garycheng 15 1328843 ' 第94131080號專利說明書修正本 修正日期:98.3.27 並非以上述之磊晶層形成。最終,元件322係包含摻雜 區域306a、306b、306c、及306d之三步驟漸進式形成製 程,而該元件320則包含摻雜區域306a、306b、306c、 及306d兩步驟漸進式形成製程。如一般所熟知,由於該 元件320與322並非為相同型態,使用該不同的摻雜物 . 以形成該306a-306d層,這樣的摻雜物選擇可為一般習知 ' 技藝人士所熟知。 雖然本發明已以較佳實施例揭露如上,然其並非用 以限定本發明,任何熟習此技藝者,在不脫離本發明之 精神和範圍内,當可作些許之更動與潤飾,因此本發明 之保護範圍當視後附之申請專利範圍所界定者為準。 0503-A3097 i TWF2/garycheng 16 1328843 - 第94131080號專利說明書修正本 修正日期·· 98.3.27 【圖式簡單說明】 第1圖為一製程流程圖,其繪示依據本發明之一或 多個實施例中所使用之方法。 第2至7圖為一剖面示意圖,其繪示依據第1圖之 方法所建構之部分微機電元件。 第8圖為一剖面示意圖,其繪示依據本發明揭露所 • 建構之微機電積體電路。 【主要元件符號說明】 10〜方法; 24〜步驟; 101〜元件; 1〇4,304〜隔離區域; 306a , 306b , 306c , 306d 110,310〜電極; 112,312〜襯層; 114a〜細薄間隙壁; 118〜甜止層; 122〜凹蝕處; 126〜汲極/源極接觸洞; 12 , 14 , 16 , 18 , 20 , 22 , 100〜積體電路; 102,302〜基底; 106a,106b,106c,106d, -摻雜區域; 10 8,3 0 8〜閘極層, 111〜硬罩幕; 114,314〜間隙壁; 116〜閘極石夕化層; 120〜通道區域; 124〜蠢晶層, 2〇4〜離子佈植; 300〜互補式微機電電路; 320,322〜微機電元件; 316a,316b,316c〜甜止層 0503-A30971TWF2/garycheng 17

Claims (1)

1328843 修正日期:98.3.27 • 第94131080號專利說明書修正本 十、申請專利範圍: 1. 一種微機電元件的製造方法,其係包括: 於一基底上形成一閘極; 於該基底之鄰近該閘極處形成一凹蝕處; 於該凹鍅處形成一蟲晶層; 於鄰近該閘極處形成一細薄間隙壁;以及 於该基底上形成—源極與汲極區域,其係包含於該 磊晶層之内。 ' ~ 2.如申請專利範圍第丨項所述之微機電元件的製 造方法,其更包括: 於形成該蟲晶層之前,在一問極上表面形成一硬罩 冪;以及 於形成該源極與汲極區域之前,自該閘極之該上表 面移除該硬罩冪。 ^ 3.如申請專利範圍第1項所述之微機電元件的製 造方法,其更包括於形成該磊晶層之前,在該閘極之側 表面處形成一粗厚間隙壁。 、4.如申請專利範圍第i項所述之微機電元件的製 造方法,其中該形成細薄間隙壁之步驟係包括於形成該 磊晶層之後,蝕刻該粗厚間隙壁。 土 5.如申請專利範圍第丨項所述之微機電元件的製 法其中該形成細薄間隙壁之步驟係包括於形成該 aa d後及升^成δ亥源極與没極區域前,钮刻該粗厚間隙 壁。 ’' 〇503-A30971TWF2/garycheng 18 1328843 第94131080號專利說明書修正本 ^ ,, 修正日期:98.3 27 法方料範㈣5韻述之微機以件的製 /、更包括I質上於該間隙壁與該 一鉗止層(clamping 】ayer)。 S之上形成 造方法 雜物。 8. 造方法 -利範圍第3項所述之微機電元件的, /、更已括於形成該粗厚間隙壁前植入一第一摻 如申請專利範圍第3項所诚 其更包括: 斤〜之_電兀件的製 於形成該粗厚間隙壁前形成一氧化觀層;及 化概層當形成該細薄間隙壁時’留下近似相同寬度的該氧 9.如申請專利範圍第1項所述 造方法,其中該元件係為 二:::-件的製 矽鍺或石夕。 曰體且Μ晶層為 、告方* 專利乾圍第1項所述之微機電元件的制 仏方法、中該細薄間隙壁具有—少於5⑼埃之衣 11.一種微機電元件,其係包括.、予X 一於半導縣底_L之閘極結構 該半導體基底内之-應變p_通道;徒供、擇性知作 源極與汲極區域’其係包括; 一位於鄰近該通道且延伸至該半導體美 ^ 深度的第一摻雜區域; 土-之一第一 :位於鄰近該第—摻雜區域且延伸至 之1二深度的第二摻雜區域,其,該第二深:二 050J-A30971 TWF2/garycheng 19 1328843 • 第94131〇8〇號專利說明書修正本 修正曰期:98.327 深度為深;以及 一位於鄰近該第二摻雜區域且延伸至該半導體基底 ^一第三深度的第三摻雜區域,其中該第三深度較第二 冰度為深。 12.如申請專利範圍第u項所述之微機電元件,苴 中該源極與祕區域係隨該第三摻雜區域 退 火製程。 文、幻l :1—3·一如申請專利範圍帛u項所述之微機電元件,其 中戎第三摻雜區域係、包括—石夕錄摻雜部分。 14‘如申請專利範圍f u項所述之微機雷元宜 中該開極結構係包括—魏物接觸洞。 /、 X J α如申請專利範圍第Π項所述之微機電元件 更包括在至少部分該閘極結構周圍之—甜止層。 Κ如申請專利範圍帛u項所述之微機電元件,宜 中該第裕雜區域為少量摻雜的源極/沒極區域。 17.如中料利範圍第u項所述之微機 „一具有第—部份與第二部分之w層,I中ς 第二接該閘極結構’而第二部分為延伸超過該 18. 更包括一 壁。 如申凊專利|!]||第〗7項所狀微機電元件,盆 延伸超過該第—摻雜區域之—相當細薄之間隙 0503-A30971TWF2/gaiycheng 20 1328843 第94131080號專利說明書修正本該第二摻雜區域具有自該相當細 300埃的寬度。 修正曰期·· 98.3.27 薄間隙壁之外端延伸 0503-A30971TWF2/garycheng 21
TW094131080A 2004-09-09 2005-09-09 A strained silicon device manufacture method TW200610066A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/937,722 US7135372B2 (en) 2004-09-09 2004-09-09 Strained silicon device manufacturing method

Publications (2)

Publication Number Publication Date
TW200610066A TW200610066A (en) 2006-03-16
TWI328843B true TWI328843B (zh) 2010-08-11

Family

ID=35996797

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094131080A TW200610066A (en) 2004-09-09 2005-09-09 A strained silicon device manufacture method

Country Status (3)

Country Link
US (2) US7135372B2 (zh)
CN (1) CN100428427C (zh)
TW (1) TW200610066A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI548039B (zh) * 2015-03-17 2016-09-01 力晶科技股份有限公司 半導體裝置的製作方法

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
US7238985B2 (en) * 2003-08-13 2007-07-03 International Rectifier Corporation Trench type mosgated device with strained layer on trench sidewall
US20050090082A1 (en) * 2003-10-28 2005-04-28 Texas Instruments Incorporated Method and system for improving performance of MOSFETs
US7244654B2 (en) * 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
US7247535B2 (en) * 2004-09-30 2007-07-24 Texas Instruments Incorporated Source/drain extensions having highly activated and extremely abrupt junctions
JP2006173538A (ja) * 2004-12-20 2006-06-29 Oki Electric Ind Co Ltd 半導体装置
US7320921B2 (en) * 2005-03-22 2008-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Smart grading implant with diffusion retarding implant for making integrated circuit chips
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7498642B2 (en) * 2005-04-25 2009-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Profile confinement to improve transistor performance
US20060286730A1 (en) * 2005-06-15 2006-12-21 Liu Alex Liu Yi-Cheng Semiconductor structure and method for forming thereof
US20060284249A1 (en) * 2005-06-21 2006-12-21 Chien-Hao Chen Impurity co-implantation to improve transistor performance
JP2007157870A (ja) * 2005-12-02 2007-06-21 Renesas Technology Corp 半導体装置及びその製造方法
US7741699B2 (en) * 2006-06-09 2010-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having ultra-shallow and highly activated source/drain extensions
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
KR100798814B1 (ko) * 2006-09-20 2008-01-28 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
KR100764058B1 (ko) * 2006-09-20 2007-10-09 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US7622344B2 (en) * 2007-07-17 2009-11-24 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistors
US20090186475A1 (en) * 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US7927963B2 (en) * 2008-08-07 2011-04-19 International Business Machines Corporation Integrated circuit structure, design structure, and method having improved isolation and harmonics
US7804151B2 (en) * 2008-08-07 2010-09-28 International Business Machines Corporation Integrated circuit structure, design structure, and method having improved isolation and harmonics
DE102008049725B4 (de) * 2008-09-30 2012-11-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit NMOS-Transistoren und PMOS-Transistoren mit stärkeren verformungsinduzierenden Quellen und Metallsilizidgebieten mit geringem Abstand und Verfahren zur Herstellung des Bauelements
US8361847B2 (en) 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
KR101908451B1 (ko) 2012-06-04 2018-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
CN104835737B (zh) * 2014-02-07 2018-09-04 无锡华润上华科技有限公司 半导体器件及其制作方法
JP6246664B2 (ja) * 2014-06-04 2017-12-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR102436123B1 (ko) * 2015-04-02 2022-08-24 파티클 머슈어링 시스템즈, 인크. 입자 계산 기기에서 레이저 노이즈 검출 및 완화
KR102543178B1 (ko) 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10707352B2 (en) * 2018-10-02 2020-07-07 Qualcomm Incorporated Transistor with lightly doped drain (LDD) compensation implant
KR20200115762A (ko) 2019-03-25 2020-10-08 삼성전자주식회사 반도체 소자
US11699702B2 (en) * 2020-04-27 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Input/output devices
US11450768B2 (en) 2020-10-05 2022-09-20 Sandisk Technologies Llc High voltage field effect transistor with vertical current paths and method of making the same
TWI738568B (zh) * 2020-11-18 2021-09-01 汎銓科技股份有限公司 一種故障分析用的半導體試片的製備方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079180A (en) * 1988-12-22 1992-01-07 Texas Instruments Incorporated Method of fabricating a raised source/drain transistor
FR2654258A1 (fr) * 1989-11-03 1991-05-10 Philips Nv Procede pour fabriquer un dispositif a transistor mis ayant une electrode de grille en forme de "t" inverse.
EP0490535B1 (en) * 1990-12-07 1996-08-21 AT&T Corp. Transistor with inverse silicide T-gate structure
DE19625461C2 (de) * 1996-06-26 2000-06-21 Martin Diestelhorst Verfahren zur Umwandlung von Infrarotstrahlung in elektrische Signale mit hochwirksamen Verstärkerprinzip
US6023082A (en) 1996-08-05 2000-02-08 Lockheed Martin Energy Research Corporation Strain-based control of crystal anisotropy for perovskite oxides on semiconductor-based material
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6160299A (en) * 1997-08-29 2000-12-12 Texas Instruments Incorporated Shallow-implant elevated source/drain doping from a sidewall dopant source
US6696346B2 (en) * 1997-12-24 2004-02-24 Rohm Co., Ltd. Method of manufacturing semiconductor device
US6130123A (en) * 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000195872A (ja) * 1998-12-28 2000-07-14 Fujitsu Quantum Device Kk 半導体装置及びその製造方法
US6187642B1 (en) * 1999-06-15 2001-02-13 Advanced Micro Devices Inc. Method and apparatus for making mosfet's with elevated source/drain extensions
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US6495402B1 (en) * 2001-02-06 2002-12-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device having source/drain silicon-germanium regions and method of manufacture
US6489206B2 (en) * 2001-03-22 2002-12-03 United Microelectronics Corp. Method for forming self-aligned local-halo metal-oxide-semiconductor device
US6596599B1 (en) * 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
KR100406537B1 (ko) * 2001-12-03 2003-11-20 주식회사 하이닉스반도체 반도체장치의 제조 방법
US6723609B2 (en) * 2002-02-04 2004-04-20 United Microelectronics Corp. Method of preventing leakage current of a metal-oxide semiconductor transistor
US6498067B1 (en) * 2002-05-02 2002-12-24 Taiwan Semiconductor Manufacturing Company Integrated approach for controlling top dielectric loss during spacer etching
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6689688B2 (en) * 2002-06-25 2004-02-10 Advanced Micro Devices, Inc. Method and device using silicide contacts for semiconductor processing
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6699755B1 (en) * 2003-03-24 2004-03-02 Powerchip Semiconductor Corp. Method for producing a gate
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6929992B1 (en) * 2003-12-17 2005-08-16 Advanced Micro Devices, Inc. Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift
US7112497B2 (en) * 2004-06-25 2006-09-26 Texas Instruments Incorporated Multi-layer reducible sidewall process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI548039B (zh) * 2015-03-17 2016-09-01 力晶科技股份有限公司 半導體裝置的製作方法

Also Published As

Publication number Publication date
US8569845B2 (en) 2013-10-29
US20060051922A1 (en) 2006-03-09
CN100428427C (zh) 2008-10-22
TW200610066A (en) 2006-03-16
US7135372B2 (en) 2006-11-14
CN1767157A (zh) 2006-05-03
US20070075356A1 (en) 2007-04-05

Similar Documents

Publication Publication Date Title
TWI328843B (zh)
JP5576655B2 (ja) ドレイン及びソース領域にリセスを設けることでチャネル領域に極めて近接するトランジスタにストレスソース与える技術
US7892905B2 (en) Formation of strained Si channel and Si1-xGex source/drain structures using laser annealing
US7226833B2 (en) Semiconductor device structure and method therefor
TWI438847B (zh) 阻止電晶體閘電極之預非晶化
TWI323944B (en) Semiconductor device and fabrication method thereof
US7723174B2 (en) CMOS device comprising MOS transistors with recessed drain and source areas and a SI/GE material in the drain and source areas of the PMOS transistor
TW200824007A (en) Stressed field effect transistor and methods for its fabrication
KR101180978B1 (ko) 구동 전류 감손 없이 임계 안정성이 증가된 트랜지스터 디바이스
TWI469344B (zh) 具有包含效能增進材料成分之受應變通道區的電晶體
TW200539259A (en) Integrated circuit with multiple spacer insulating region widths
JP2007258715A (ja) ゲルマニウムを含むチャネルを有するトランジスタを作る方法
KR20110082028A (ko) 채널 영역에 대해 감소된 오프셋을 갖는 매립된 si/ge 물질을 구비한 트랜지스터
KR20120030033A (ko) 점진적으로 만들어진 형태의 구성을 가지는 매립 스트레인 유도 물질을 갖는 트랜지스터
TWI270146B (en) Semiconductor-on-insulator (SOI) strained active areas
JP2012504345A (ja) 高応力下にあるチャネルを有するmosデバイスを製造するための方法
TW201030902A (en) Performance enhancement in PMOS and NMOS transistors on the basis of silicon/carbon material
TW201041047A (en) Method for fabricating a semiconductor device with self-aligned stressor and extension regions
JP2007123898A (ja) チップ、fet製造方法(誘電体ストレッサ要素を有するトランジスタ)
KR101382676B1 (ko) 반도체 장치의 제조 방법, 및 반도체 장치
TW201013788A (en) Manufacturing method for semiconductor device and semiconductor device
KR20050051448A (ko) 에스오아이 기판들을 제조하는 방법들, 이를 사용하여반도체 소자들을 제조하는 방법들 및 그에 의해 제조된반도체 소자들
US11062951B2 (en) Method of manufacturing of a field effect transistor having a junction aligned with spacers
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
KR101519574B1 (ko) 두께가 감소된 활성층을 구비한 변형된 트랜지스터를 제조하는 방법 및 구조화된 변형 기판을 형성하는 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees