CN1656206A - 具有改进的基板相容性的无氨碱性微电子清洗组合物 - Google Patents

具有改进的基板相容性的无氨碱性微电子清洗组合物 Download PDF

Info

Publication number
CN1656206A
CN1656206A CNA028138767A CN02813876A CN1656206A CN 1656206 A CN1656206 A CN 1656206A CN A028138767 A CNA028138767 A CN A028138767A CN 02813876 A CN02813876 A CN 02813876A CN 1656206 A CN1656206 A CN 1656206A
Authority
CN
China
Prior art keywords
atom
heteroatomic
contain
integer
hydroxyethyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028138767A
Other languages
English (en)
Other versions
CN100410359C (zh
Inventor
奇恩-平·S·许
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avantor Performance Materials LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN1656206A publication Critical patent/CN1656206A/zh
Application granted granted Critical
Publication of CN100410359C publication Critical patent/CN100410359C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/32Amides; Substituted amides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)

Abstract

用于清洗微电子基板的无氨清洗组合物,更具体地,此组合物清洗剂对以敏感多孔电介质、低-κ和高-κ电介质以及敷铜为特征的微电子基板一起使用的、并对基板具有改进相容性。用于剥离光致抗蚀剂、清除等离子生成的有机化合物、金属有机化合物和无机化合物的残余物、清除来自平坦化工艺的残余物的清洗组合物。该清洗组合物含有一种或多种含有非亲核的、带正电的反离子的不产生铵的强碱和一种或多种腐蚀抑制溶剂化合物,所说的腐蚀抑制溶剂化合物含有至少两个能与金属络合的位点。

Description

具有改进的基板相容性的无氨碱性微电子清洗组合物
发明领域
本发明涉及用于清洗微电子基板的无氨清洗组合物,更具体地,本发明涉及对以敏感的低-κ和高-κ电介质以及敷铜(copper metallization)为特征的微电子基板有益的、并与基板具有改进相容性的清洗组合物。本发明也涉及此清洗组合物在剥离光致抗蚀剂、清除等离子生成的有机化合物、金属有机化合物和无机化合物的残余物、清除来自诸如化学机械磨光(CMP)的平坦化工艺的残余物以及清除平坦化工艺(planarization process)残余浆中的添加剂的用途。
发明背景
在微电子工业中,多种光阻剂和残余物清除剂已被建议用来作为制造生产线下游或后端的清洗剂。在制造工艺中,光致抗蚀剂薄膜沉积在晶片基板上,然后将电路设计成像在薄膜上。烘焙后,未聚合的抗蚀剂可用光致抗蚀剂显像剂清除。因此,生成的图像可通过活性的等离子蚀刻气体或化学蚀刻剂溶液转移到底层材料上,底层材料通常为电介质或金属。这些蚀刻剂气体或化学蚀刻剂溶液选择性地蚀刻基板上未被光致抗蚀剂保护的区域。因此,作为等离子蚀刻技术的结果,光致抗蚀剂、蚀刻气体和蚀刻材料的副产物为沉积在基板上蚀刻空隙的侧部表面上或周围的残余物。
此外,在蚀刻过程完成后,必须从晶片的被保护区域上清除抗蚀剂掩膜,如此才能完成最后的修整过程。在等离子灰化步骤中使用合适的等离子灰化气体或湿法化学剥离剂可完成此修整过程。然而,寻找一种用来清除抗蚀剂掩膜材料而对金属电路没有如腐蚀、溶解或浊化的不利影响的,合适的清洗组合物,已证明同样是有问题的。
随着微电子制造集成水平的提高以及模式微电子器件尺寸的减小,在本领域中使用敷铜、低-κ和高-κ电介质的技术越来越普通。寻找可接受的清洗组合物对这些材料来说是另外的挑战。许多以前研制的用于“传统的”或“常规的”半导体器件的工艺组合物中包含有Al/SiO2或Al(Cu)/SiO2结构,而不适用于敷铜的低-κ或高-κ电介质结构。例如,羟胺基剥离剂或残余物清洗剂组合物能成功地用于清洗含有敷铝的器件,然而几乎不适用于敷铜的器件。如果不对组合物作重大的调整,许多适合于敷铜/低-κ的剥离剂则同样地不适用于敷铝的器件。
在蚀刻和/或灰化过程(ashing proccess)后,清除这些蚀刻和/或灰化的残余物已证实是有问题的。如不能完全清除或中和这些残余物,湿气将被会吸收,并且能生成腐蚀金属结构的不必要的物质,电路材料因此被这些不必要的物质腐蚀,在电路线圈中生成不连续区域以及使电阻不必要增大。
该发明的后端清洗剂显示出与某些敏感的电介质和涂敷金属有着广泛的相容性:从彻底的不接受到相对满意。大多数当前的剥离剂或残余物清洗剂对高级互连的材料比如多孔的、低-κ和高-κ的电介质和敷铜是不可接受的。此外,普通的碱性清洗溶液对低-κ和高-κ的电介质和/或敷铜具有过度的攻击性。而且,许多这些碱性清洗组合物含有稳定性差的有机溶剂,特别在高pH值范围和高温的过程中稳定性更差。
发明概述
因此,开发出适用于后端清洗过程的微电子清洗组合物迫在眉睫,这种组合物应是有效的清洗剂,并适用于剥离光致抗蚀剂和清洗来自等离子过程中生成的有机、有机金属和无机材料的等离子灰烬残余物和清洗来自诸如化学机械磨光的平坦化工艺步骤中的残余物等。本发明还涉及能有效剥离光致抗蚀剂、制备/清洗灰化的半导体表面和结构,并与高级互连的材料如多孔的、低-κ和高-κ电介质和敷铜具有好的相容性的组合物。
已发现,氨(NH3)和氨-衍生的碱如氢氧化铵和其它盐(NH4X,X=OH、碳酸根等)通过形成络合物能溶解/腐蚀金属如铜。因此,当要求与低-κ电介质(即κ值为3或更低)与高-κ(即κ值为20或更大)电介质和敷铜具有相容性时,在半导体清洗配方中使用它们是不好的选择。在平衡过程中,这些化合物可产生氨。氨可与金属如铜形成络合物,且导致金属腐蚀/溶解,如下述方程式所示。
(方程1)
  (方程2)
因此,氢氧化铵和铵盐可通过方程1所述的平衡过程提供亲核和金属-螯合的氨(NH3),尤其当添加其它碱如胺和链烷醇胺时。在氧气存在下,金属如铜可通过与氨形成络合物而被溶解/腐蚀,如方程2所示。这种络合物的形成可进一步使平衡(方程1)向右边移动,并提供更多的氨,这导致进一步的金属溶解/腐蚀。
通常,敏感的低-κ电介质在强碱性条件下显著降解。氨和氨-衍生的碱也显示出与敏感电介质如氢硅倍半氧丙环(hydrogen silsesquioxan,HSQ)和甲基硅倍半氧丙环(silsesquioxane,MSQ)不良的相容性。另外,它们可提供氨和/或其它亲核体,并进而导致敏感电介质的反应/降解。
已发现,在含有至少一种腐蚀抑制臂或部分的溶剂中,含非亲核的、带正电反离子且不产生铵的强碱性清洗配方(如四烷铵)显示出与敏感多孔或低-κ电介质和/或敷铜具有显著改进的相容性。优选的溶剂基质耐强碱性条件,这是由于位阻效应和/或对亲核反应低或没有反应性(相对于亲核体如氢氧根离子)导致的。由于在组合物内不存在非所需的亲核体,所以可部分地获得改进的电介质相容性。通过选择使用一些铜-相容的或“腐蚀抑制”溶剂,来获得与敷铜具有良好的相容性。这些组分可被配制到半含水直到几乎不含水(基于有机溶剂的)的清洗溶液或浆液中。
发明详述
本发明的新型后端清洗组合物(back end cleaning composition)包括一种或多种任何合适的含非亲核的、带正电反离子的且不产生铵的强碱(non-ammonium producing strong base)和一种或多种在强碱性条件下稳定且在溶剂化合物内具有金属腐蚀抑制臂(metal-corrosion inhibiting arm)的任何合适的溶剂。在适用于本发明清洗组合物的合适的含非亲核的、带正电反离子的且不产生铵的强碱当中,可提及的含有下式的四烷基氢氧化铵或盐:[(R)4N+]p[X]-q,其中各R独立地为取代或未取代的烷基,优选含有1-22和更优选地含有1-6个碳原子的烷基(R≠H);X为OH或合适的盐阴离子,如碳酸根等;p与q相同且为1-3的整数。合适的强碱也包括KOH和NaOH。包括含非亲核的、带正电反离子的且不产生铵的强碱的清洗组合物显示出与多孔和低-κ电介质和敷铜显著改进的相容性。不含氨的四烷基氢氧化铵(TAAH)是非常强的碱,但发现它们与含有氢氧化铵的清洗组合物相比,令人惊奇地提供了与多孔和低-κ电介质改进的相容性。特别优选四甲基氢氧化铵、四丁基氢氧化铵和氢氧化胆碱。
尽管以前尝试过通过仔细控制pH值和/或使用其它腐蚀抑制化合物,如:小于2%重量的苯并三唑(BT)来控制和抑制金属腐蚀,但已发现,当使用一种或多种“腐蚀抑制溶剂”,即含有至少两个能与金属络合的配位点的溶剂化合物时,本发明的清洗组合物意想不到地、显著地改善和控制铜的金属腐蚀状况。此类腐蚀抑制溶剂,优选地为含有两个或多个能与金属络合的配位点的化合物,该化合物具有下述通式:
                  W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
                              或
                       T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X是亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
在以上的定义中,烷基和亚烷基优选含有1-6个碳原子,更优选地含有1-3个碳原子,环烷基和亚环烷基优选地含有3-6个碳原子,芳基与亚芳基优选地含有约3-14个碳原子,更优选地含有约3-10个碳原子。烷基优选为甲基、乙基或丙基;亚烷基优选为亚甲基、亚乙基或亚丙基;芳基优选为苯基;亚芳基优选为亚苯基;杂原子取代的环烷基优选为二氧基(dioxyl)、吗啉基和吡咯烷基;杂原子取代的芳基优选为吡啶基。
这种腐蚀抑制溶剂的一些合适的实例包括例如乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇,但不限于这些溶剂。
可将含有不产生铵的强碱的本发明的清洗组合物配制成含水、半含水或有机溶剂基组合物。含非亲核的、带正电反离子且不产生铵的强碱可与单独的腐蚀抑制溶剂一起使用,或结合其它稳定溶剂,优选一种或多种耐强碱且不含有无阻亲核体的极性有机溶剂如二甲基亚砜(DMSO)、环丁砜(SFL)和二甲基哌啶酮一起使用。清洗组合物也可任选地含有有机或无机酸,优选为有机弱酸或无机弱酸、位阻胺、位阻链烷醇胺和位阻羟胺。清洗组合物也可含有其它腐蚀抑制剂,如苯并三唑和含有2个或多个OH或OR基团的芳基化合物(其中R是烷基或芳基),例如邻苯二酚(儿茶酚)、焦棓酸(连苯三酚)、间苯二酚等。
清洗组合物也可含有任何合适的表面活性剂,如二甲基己炔醇(Surfynol-61)、乙氧化四甲基癸炔二醇(Surfynol-465)、聚四氟乙烯十六烷氧基丙基甜菜碱(Zonyl FSK)、(Zonyl FSH)等。
可在本发明的组合物中使用任何合适的不含金属离子的硅酸盐。硅酸盐优选季铵硅酸盐如四烷基硅酸铵(包括通常在烷基或烷氧基中具有1-4个碳原子的含羟基和烷氧基的烷基)。最优选的不含金属离子的硅酸盐组分是四甲基硅酸铵。可通过溶解任何一种或多种下述材料在高度碱性的清洗剂中,就地生成用于本发明的其它合适的不含金属离子的硅酸盐原料。可用于在清洗剂中生成硅酸盐的合适的不含金属离子的材料是固体硅晶片、硅酸、胶态氧化硅、高温燃烧的二氧化硅(煅制氧化硅),或任何其它形式的硅或硅石。可以使用金属硅酸盐,如硅酸钠盐,但是因为金属对集成电路具有危害性的影响,所以并不推荐使用金属硅酸盐。组合物中硅酸盐重量百分比为约0-10%重量之间,优选地含量为约0.1-5%重量之间。
为提高制剂在溶液中维持金属的能力以及提高晶片基板上金属残余物的溶解性能,可以往本发明的组合物中调配合适的金属螯合剂。螯合剂在组合物中的量通常为约0-5%重量,优选的用量为约0.1-2%重量。能满足此目的的螯合剂的典型实例有以下的有机酸及其异构体和相应的盐:乙二胺四乙酸(EDTA)、丁二胺四乙酸、(1,2-环己二胺)四乙酸(CyDTA)、二亚乙基三胺五乙酸(DEPTA)、乙二胺四丙酸、(羟乙基)乙二胺三乙酸(HEDTA)、N,N,N`,N`-亚乙基二胺四(亚甲基膦酸)(EDTMP)、三亚乙基四胺六乙酸(TTHA)、1,3-二氨基-2-羟丙烷-N,N,N`,N`-四乙酸(DHPTA)、甲基亚氨基二乙酸、丙二胺四乙酸、氨三乙酸(NTA)、柠檬酸、酒石酸、葡糖酸、葡糖二酸、甘油酸、草酸、邻苯二甲酸、马来酸、苦杏仁酸、丙二酸、乳酸、水杨酸、邻苯二酚、鞣酸(没食子酸)、鞣酸丙酯、焦棓酸、8-羟基喹啉和巯基丙氨酸(半胱氨酸)。优选的螯合剂是氨基羧酸如EDTA、CyDTA和氨基膦酸如EDTMP。
清洗组合物也可任选地含有在清洗组合物内的氟化物化合物,如四甲基氟化铵、四丁基氟化铵和氟化铵。其它合适的氟化物包括例如氟硼酸盐、四丁基氟硼酸铵、六氟化铝、氟化锑等。氟化物组分的存在量为0-10%重量,优选约0.1-5%重量。
因此,把操作/运行的pH值和温度控制在一个宽的范围内,能有效地去除并清洗光致抗蚀剂,等离子体蚀刻/灰烬残余物、牺牲光吸收材料(sacrificallight absorbing materials)和抗反射层(ARC)。也已发现,对于清洗在其结构中含有钽,如钽(Ta)或氮化钽阻挡层和氧化钽的非常难以清洗的样品,这类配方中的一些特别有效。
本发明的清洗组合物通常包括约0.05-30%重量不产生铵的强碱;约0.5-99.95%重量的腐蚀抑制溶剂组分;约0-95.45%重量的水或其它有机共溶剂;约0-40%重量的位阻胺、链烷醇胺或羟胺;约0-40%重量的有机或无机酸;约0-40%重量的金属腐蚀抑制剂化合物如苯并三唑、邻苯二酚等;约0-5%重量的表面活性剂;约0-10%重量的硅酸盐;约0-5%重量的金属螯合剂和约0-10%重量的氟化物化合物。
在本申请的下述部分中,使用下述缩写表示所指组分。
HEP=1-(2-羟乙基)-2-吡咯烷酮
TMAH=25%四甲基氢氧化铵
BT=苯并三唑
DMSO=二甲基亚砜
CyDTA=反式-1,2-环己二胺四乙酸
SFL=环丁砜
EG=乙二醇
CAT=邻苯二酚
EDTMP=乙二胺四(亚甲基膦酸)
DMPD=二甲基哌啶酮
TMAF=25%四甲基氟化铵
BSA=苯磺酸
TMAS=10%四甲基硅酸铵
下表1A、1B和1C列出了这些类型配方的实例,其中各组分的用量以重量份数来表示。
                                           表1A
                                                组合物
 组分     A   B     C     D     E     F     G     H
 HEPH2OTMAHBTDMSOTEACyDTASFLEGCATEDTMPDMPD     9010.80.11 7151616 816160.216 3216160.216 161624 1224240.324 101040     9082.70.11
                    表1B
                      组合物
 组分     I     J     K     L
 HEPH2OTMAHBTDMSOTEACyDTA 544536 543636 324160.4 321615
 SFLEGCATEDTMPDMPD 540.36 540.36 486 0.416
在表1C中,描述了具有额外添加的任选组分的表1A的组合物D和F的变通方案。
                                 表1C
                                       组合物
组分 M N O P Q R S
 组合物D组合物FTMAFTMAHH2OBSAH2SO4TMAS     1002.5     10020.5     1002 1002.510 1002100.5 100102     10020.51
通过下述试验程序,评价表1A的组合物D和F以及表1C的组合物M-S的层间电介质(interlayer dielectric,ILD)蚀刻速率。
使用Rudolph干涉仪,测量晶片的膜厚。在指定的温度下,将(表面上沉积有ILD材料的)晶片浸渍在指定的清洗组合物中30分钟,接着用去离子水漂洗并在氮气流/流体下干燥。然后在处理之后再次测量厚度,并根据膜厚的变化计算在指定处理方法下的蚀刻速率。结果见表2、3、4和5。
                              表2
               45℃下的电介质蚀刻速率(/min)(30min)
 组合物  CDO  BlackDiomond  SiLK  Coral  FSG  TEOS  FOx-16  SiN
 D  2  7  <1  <1  <1  <1  -  <1
 F  2  7  <1  <1  <1  <1  -  <1
                              表3
              55℃下的电介质蚀刻速率(/min)(30min)
 组合物 CDO  BlackDiomond  SiLK  Coral  FSG  TEOS  FOx-16  SiN
 D  2  29  <1  6  <1  1  -  <1
 F  2  25  3  4  <1  4  -  <1
                              表4
               65℃下的电介质蚀刻速率(/min)(30min)
 组合物 CDO  BlackDiomond  SiLK  Coral  FSG  TEOS  FOx-16  SiN
 D  2  42  5  9  <1  1  -  <1
 F  2  40  5  8  <1  1  -  <1
                表5
70℃下的电介质蚀刻速率(/min)(30min)
 组合物 CDO  SiLK  Coral  TEOS
 M  5  <1  12  3
 N  5  <1  14  3
 O  4   2  12  2
 P  <1  <1  5  1
 Q  <1  <1  3  <1
 R  2  <1  2  <1
 S  <1  <1  <1  3
在表2、3、4和5中,电介质如下:
CDO=掺杂碳的氧化物;
Black DiomondTM=掺杂碳的氧化物的商标;
SiLKTM=有机聚合物;
CoralTM=掺杂碳的氧化物的商标;
FSG=氟化硅酸盐玻璃;
TEOS=原硅酸四乙酯;
Fox-16TM=可流动的氧化物(HSQ类);和SiN=氮化硅。
在以下实施例中展示了,与相容性较差的金属铝相比,金属铜在本发明的组合物中具有优越的相容性。列出了表1A的组合物D和F以及表1B的组合物L的数据。
金属铜和铝在本发明清洗组合物中的蚀刻速率如表5和6所示,其检测方法如下:
使用约13×50mm的铝箔或铜箔。测量箔片的重量。用2-丙醇、蒸馏水和丙酮清洗箔片之后,在干燥烘箱中干燥箔片。然后将清洗过的干燥的箔片置于装有预先加热的本发明清洗组合物瓶中,其瓶盖松散地盖在瓶上;并在指定温度下,将其放置在真空烘箱中2-24小时。从烘箱和瓶中处理和清除之后,用非常大量的蒸馏水漂洗清洗过的箔片,并在干燥烘箱中干燥约1小时,然后使之冷却到室温,接着根据重量损失或重量变化来确定蚀刻速率。
               表6
55℃下的金属蚀刻速率(/min)(24小时研究)
组合物 Cu蚀刻速率 Al蚀刻速率
D <1 >1000
E <1 >1000
               表7
65℃下的金属蚀刻速率(/min)(24小时研究)
 组合物 Cu蚀刻速率 Al蚀刻速率
 D <1 >1000
 F <1 >1000
 L  1
利用无腐蚀抑制溶剂的两个对比例中的比较数据,使用各种腐蚀抑制溶剂,证明在组合物内含有的本发明腐蚀抑制溶剂的优点。以与前面本发明所述的相同方式,对Cu进行蚀刻速率试验,结果见表8。
                                           表8
                      70-75℃(烘箱温度)下的Cu蚀刻速率(/min)(24小时试验)
组合物组分重量份数 抑制剂的本性 抑制剂%重量  Cu蚀刻速率(/hr)
22∶32的25%TMAH-DMSO 0  220
22∶16∶16的25%TMAH-DMSO-2-(甲基氨基)乙醇 2-(甲基氨基)乙醇 30  <10
22∶16∶16的25%TMAH-DMSO-N,N-二甲基乙醇胺 N,N-二甲基乙醇胺 30  <10
22∶16∶16的25%TMAH-DMSO-3-(二乙基氨基)-1,2-丙二醇 3-(二乙基氨基)-1,2-丙二醇 30  <10
32∶15∶7的DMSO-25%TMAH-H2O 0  220
16∶15∶7∶16的DMSO-25%TMAH-H2O-三乙醇胺 三乙醇胺 30  <10
16∶15∶7∶16的DMSO-25%TMAH-H2O-二乙醇胺 二乙醇胺 30  <10
16∶15∶7∶16的DMSO-25%TMAH-H2O-单乙醇胺 单乙醇胺 30  <10
16∶15∶7∶16的DMSO-25%TMAH-H2O-HEP HEP 30  <10
24∶15∶7∶8的DMSO-25%TMAH-H2O-三乙醇胺 三乙醇胺 15  <10
24∶15∶7∶8的DMSO-25%TMAH-H2O-二乙醇胺 二乙醇胺 15  <10
24∶15∶7∶8的DMSO-25%TMAH-H2O-单乙醇胺 单乙醇胺 15  <10
24∶15∶7∶8的DMSO-25%TMAH-H2O-HEP HEP 15  <10
用含有TMAH、DMSO和H2O的配方以及有和无腐蚀抑制溶剂,进行类似的Cu蚀刻速率试验,蚀刻速率数据如表9所示。
                      表9
70-75℃(烘箱温度)下的Cu蚀刻速率(/min)(24小时试验)
 抑制剂的本性 抑制剂%重量 Cu蚀刻速率(/hr)
 无 0 140
 2-氨基-2-甲基-1-丙醇 30 <10
 1-氨基-2-丙醇 30 <10
 2-(2-氨基乙氧基)乙醇 30 <10
SFL和TMAH的配方以及有和无本配方中列出的腐蚀抑制溶剂,进行另一系列的Cu蚀刻速率研究。其测试结果见表10。
                      表10
70-75℃(烘箱温度)下的Cu蚀刻速率(/min)(24小时试验)
 重量份数组合物组分 抑制剂的本性 抑制剂%重量 Cu蚀刻速率(/hr)
 10∶50的25%TMAH-SFL 0 30
 10∶40∶10的25%TMAH-SFL-TEA TEA 17 <10
下述实施例证明了与铵碱例如氢氧化铵(NH4OH)相比,本发明不含铵的强碱,例如TMAH,与敏感低-κ的电介质,例如氢硅倍半氧丙环(HSQ)型Fox-15TM可流动氧化物具有优异相容性。测试方法如下:将涂敷有电介质膜的晶片样品浸渍在磁搅拌的湿法化学溶液(搅拌速度300rpm)中,接着用异丙醇和蒸馏水漂洗。然后在IR分析之前用氮气流干燥样品。
用带有氘化硫酸三甘氨酸酯(DTGS)检测器的Nicolet 740 FTIR光谱仪测量透射IR光谱,其光谱的分辨率为4cm-1,每32个扫描取平均值。FTIR光谱分析提供了一个监控HSQ电介质的结构变化的方法。典型沉积的HSQ薄膜的红外吸收光谱谱带归属如下表所示。
HSQ电介质的红外吸收光谱的谱带归属
    吸收频率(cm-1)     谱带归属
    2,250     Si-H拉伸(stretch)
    1,060-1,150     Si-O-Si拉伸
    830-875     H-Si-O杂化振动
HSQ薄膜中Si-H键的含量可以由计算Si-H吸收带在2,250cm-1处的峰值面积来确定。以硅晶片的固有吸收在650~525cm-1(来自Si-Si晶格键长和Si-C杂质)处为内标/基准,可以精确地得到定量IR分析(相对标准偏差为2~5%)。
                                表11
                  组合物与Fox-15HSQ低-κ电介质的相容性
    重量份数组合物组分        (经FTIR检测)处理后Si-H的剩余百分含量 处理后膜厚度的剩余含量(%)
    90∶8∶1∶0.11;HEP-H2O-NH4OH-BT     20     96
    90∶8∶2.66∶0.11;HEP-H2O-TMAH-BT     92.5     100
起始膜厚:4500。
在下述试验中说明了本发明组合物的清洗能力,其中在指定温度和时间下,将包括下述通孔结构的晶片(即用氮化硅穿孔到暴露出铜的光致抗蚀剂/掺杂碳的氧化物/氮化硅/铜)的微电子结构体浸渍在清洗溶液中,然后用水冲洗,干燥,接着通过SEM检测,确定清洗程度。结果见表12。
                              表12
组合物和工艺条件 清洗性能
组合物F,75℃40分钟 100%清洁;清除全部的PR(本体PR和硬化的聚合物“开口环/栅栏(via collar/fence)”)
组合物D,75℃20分钟 100%清洁;清除全部的PR(本体PR和硬化的聚合物“开口环/栅栏”)
组合物B,75℃40分钟 100%清洁;清除全部的PR(本体PR和硬化的聚合物“开口环/栅栏”)
在包括下述线性结构的晶片(即光致抗蚀剂/氮化钽/FSG/铜)的微电子基板上进行同样的清洗试验。为了达到比较目的,还测试两种现有技术的商业清洗产品。表13列出了清洗结果。
                             表13
组合物和工艺条件 清洗性能 基板相容性
组合物F,75℃20分钟 100%清洁;清除全部的PR、ARC和残余物 与TaN和FSG100%相容
EKC-265TM,75℃20分钟 不清洁;清除本体PR,但残留硬化的聚合物“栅栏”
ATMI ST-250,30℃20分钟(氟化物-基洗提剂) 不清洁;没有变化
在包括下述通孔结构的晶片(即没有氮化硅穿孔到暴露出铜的光致抗蚀剂/掺杂碳的氧化物/氮化硅/铜)的微电子结构体上进行类似的清洗试验。结果见表14。
                              表14
组合物和工艺条件 清洗性能
组合物D,70℃20分钟 100%清洁;清除全部的PR(本体PR和硬化的聚合物“开口环/栅栏”)
在包括下述通孔结构的晶片(即Pteos/Coral/SiN/Coral/SiN/铜)的微电子基板上进行类似的清洗试验。表15列出了清洗结果。
                               表15
组合物和工艺条件 清洗性能 基板相容性
组合物B,65℃20分钟 100%清洁;清除全部的残余物 与金属Cu、电介质和蚀刻阻碍/隔离层(etchstop/barrier layers)相容
根据本发明的前述说明,本领域的技术人员将理解可在没有脱离本发明的精神和范围的情况下对本发明作出改性。因此,不打算将本发明的范围限制到所例举和描述的具体实施方案上。

Claims (48)

1.一种用于清洗微电子基板的清洗组合物,所述清洗组合物包括:
约0.05-30%重量的一种或多种含有非亲核的、带正电的反离子的不产生铵的强碱;
约0.5-99.95%重量的一种或多种腐蚀抑制溶剂化合物,所述腐蚀抑制溶剂化合物含有至少两个能与金属络合的位点;
约0-99.45%重量的水或其它有机共溶剂;
约0-40%重量的位阻胺或链烷醇胺;
约0-40%重量的有机或无机酸;
约0-40%重量的其它金属腐蚀抑制剂化合物;
约0-5%重量的表面活性剂;
约0-10%重量无金属离子的硅酸盐化合物;
约0-5%重量的金属螯合剂;和
约0-10%重量的氟化物化合物。
2.权利要求1的清洗组合物,其中不产生铵的强碱是四烷基氢氧化铵或其盐。
3.权利要求2的清洗组合物,其中四烷基氢氧化铵或盐是下述分子式的化合物:
[(R)4N+]p[X]-q
其中各R独立地为取代或未取代的烷基;X是OH或盐阴离子;p与q相同且为1-3的整数。
4.权利要求3的清洗组合物,其中R是含有1-22个碳原子的烷基,X是OH。
5.权利要求4的清洗组合物,其中R是含有1-6个碳原子的烷基。
6.权利要求1的清洗组合物,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基,以及芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
7.权利要求2的清洗组合物,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
8.权利要求3的清洗组合物,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
9.权利要求4的清洗组合物,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
10.权利要求5的清洗组合物,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
11.权利要求10的清洗组合物,其中在R-R5基团的定义中,烷基含有1-6个碳原子和芳基含有3-14个碳原子。
12.权利要求1的清洗组合物,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
13.权利要求2的清洗组合物,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇
14.权利要求3的清洗组合物,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇
15.权利要求4的清洗组合物,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
16.权利要求5的清洗组合物,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
17.权利要求1的清洗组合物,包括水或选自二甲基亚砜、环丁砜和二甲基哌啶酮中的至少一种其它的有机共溶剂。
18.权利要求6的清洗组合物,包括水或选自二甲基亚砜、环丁砜和二甲基哌啶酮中的至少一种其它的有机共溶剂。
19.权利要求11的清洗组合物,包括水或选自二甲基亚砜、环丁砜和二甲基哌啶酮中的至少一种其它的有机共溶剂。
20.权利要求16的清洗组合物,包括水或选自二甲基亚砜、环丁砜和二甲基哌啶酮中的至少一种其它的有机共溶剂。
21.权利要求1的清洗组合物,包括四甲基氢氧化铵、三乙醇胺、反式-1,2-环己二胺四乙酸、环丁砜和水。
22.权利要求1的清洗组合物,包括四甲基氢氧化铵、1-(2-羟乙基)-2-吡咯烷酮和水。
23.权利要求1的清洗组合物,包括四甲基氢氧化铵、二甲基亚砜、三乙醇胺和水。
24.权利要求1的清洗组合物,包括四甲基氢氧化铵、三乙醇胺、乙二醇、乙二胺四(亚甲基膦酸)和水。
25.一种用于清洗含有多孔电介质、低-κ或高-κ的电介质或敷铜中至少一种的微电子基板的方法,所述的方法包括将基板和清洗组合物接触一段足够长时间以能清洗基板,其中清洗组合物包括:
约0.05-30%重量的一种或多种含有非亲核、带正电的反离子的不产生铵的强碱;
约5-99.95%重量的一种或多种腐蚀抑制溶剂化合物,所述腐蚀抑制溶剂化合物含有至少两个能与金属络合的位点;
约0-99.45%重量的水或其它有机共溶剂;
约0-40%重量的位阻胺或链烷醇胺;
约0-40%重量的有机或无机酸;
约0-40%重量的其它金属腐蚀抑制剂化合物;
约0-5%重量的表面活性剂;
约0-10%重量的无金属离子的硅酸盐化合物;
约0-5%重量的金属螯合剂;和
约0-10%重量的氟化物化合物。
26,权利要求24的方法,其中不产生铵的强碱是四烷基氢氧化铵或其盐。
27.权利要求25的方法,其中四烷基氢氧化铵或盐是下述分子式的化合物:
[(R)4N+]p[X]-q
其中各R为取代或未取代的烷基;X是OH或盐阴离子;p与q相同且为1-3的整数。
28.权利要求26的方法,其中R是含有1-22个碳原子的烷基,X是OH或碳酸根。
29.权利要求27的方法,其中R是含有1-6个碳原子的烷基。
30.权利要求25的方法,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
31.权利要求26的方法,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
32.权利要求27的方法,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
33.权利要求28的方法,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
34.权利要求29的方法,其中腐蚀抑制溶剂化合物是选自下述分子式中的化合物:
W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
35.权利要求34的方法,其中约0-10%重量的无金属离子的硅酸盐化合物;约0-5%重量的金属螯合剂,烷基含有1-6个碳原子,芳基含有3-14个碳原子。
36.权利要求25的方法,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
37.权利要求26的方法,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
38.权利要求27的方法,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
39.权利要求28的方法,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
40.权利要求29的方法,其中腐蚀抑制溶剂选自乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)乙醇、N-(2-羟乙基)乙酰胺、N-(2-羟乙基)琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
41.权利要求25的方法,其中清洗组合物包括水或选自二甲基亚砜、环丁砜和二甲基哌啶酮中的至少一种其它的有机共溶剂。
42.权利要求29的方法,其中清洗组合物包括水或选自二甲基亚砜、环丁砜和二甲基哌啶酮中的至少一种其它的有机共溶剂。
43.权利要求35的方法,其中清洗组合物包括水或选自二甲基亚砜、环丁砜和二甲基哌啶酮中的至少一种其它的有机共溶剂。
44.权利要求40的方法,其中清洗组合物包括水或选自二甲基亚砜、环丁砜和二甲基哌啶酮中的至少一种其它的有机共溶剂。
45.权利要求25的方法,其中清洗组合物包括四甲基氢氧化铵、三乙醇胺、反式-1,2-环己二胺四乙酸、环丁砜和水。
46.权利要求25的方法,其中清洗组合物包括四甲基氢氧化铵、1-(2-羟乙基)-2-吡咯烷酮和水。
47.权利要求25的清洗组合物,它包括四甲基氢氧化铵、二甲基亚砜、三乙醇胺和水。
48.权利要求25的方法,其中清洗组合物包括四甲基氢氧化铵、三乙醇胺、乙二醇、乙二胺四(亚甲基膦酸)和水。
CNB028138767A 2001-07-09 2002-07-08 具有改进的基板相容性的无氨碱性微电子清洗组合物 Expired - Lifetime CN100410359C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30403601P 2001-07-09 2001-07-09
US60/304,036 2001-07-09

Publications (2)

Publication Number Publication Date
CN1656206A true CN1656206A (zh) 2005-08-17
CN100410359C CN100410359C (zh) 2008-08-13

Family

ID=23174755

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028138767A Expired - Lifetime CN100410359C (zh) 2001-07-09 2002-07-08 具有改进的基板相容性的无氨碱性微电子清洗组合物

Country Status (22)

Country Link
US (1) US20040220065A1 (zh)
EP (1) EP1404797B1 (zh)
JP (2) JP4256258B2 (zh)
KR (1) KR101009550B1 (zh)
CN (1) CN100410359C (zh)
AT (1) ATE355356T1 (zh)
AU (1) AU2002326341A1 (zh)
BR (1) BR0210888A (zh)
CA (1) CA2452885C (zh)
DE (1) DE60218468T2 (zh)
DK (1) DK1404797T3 (zh)
ES (1) ES2282453T3 (zh)
IL (2) IL159762A0 (zh)
IN (1) IN2004CH00044A (zh)
MY (1) MY131912A (zh)
NO (1) NO20040068L (zh)
PL (1) PL199523B1 (zh)
PT (1) PT1404797E (zh)
RS (1) RS51832B (zh)
TW (1) TWI262946B (zh)
WO (1) WO2003006598A1 (zh)
ZA (1) ZA200400067B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101838111A (zh) * 2010-05-20 2010-09-22 合肥茂丰电子科技有限公司 玻璃基板蚀刻液及其制备方法
CN101993797A (zh) * 2009-08-05 2011-03-30 气体产品与化学公司 用于金属基底的半水性剥离和清洁制剂及其使用方法
CN102004399A (zh) * 2009-08-31 2011-04-06 气体产品与化学公司 富含水的剥离和清洗制剂及其使用方法
CN102168271A (zh) * 2010-01-28 2011-08-31 艾克索防腐研究有限公司 汽相腐蚀抑制剂组合物、其制备方法及其用于抗腐蚀的临时保护的用途
CN102242025A (zh) * 2010-05-14 2011-11-16 富士胶片株式会社 清洗组合物、半导体装置的制造方法及清洗方法
CN101735903B (zh) * 2008-11-04 2012-02-01 江阴市润玛电子材料有限公司 一种太阳能光伏专用电子清洗剂
CN101760355B (zh) * 2008-12-17 2012-08-22 气体产品与化学公司 用于CoWP和多孔电介质的湿清洁组合物
CN102662312A (zh) * 2012-04-20 2012-09-12 陕西科技大学 一种金属基印刷ps 版上预感光涂层的清洗液及清洗方法
WO2015000211A1 (zh) * 2013-07-03 2015-01-08 北京科华微电子材料有限公司 一种新型的光刻胶剥离液及其应用工艺
CN105210176B (zh) * 2014-04-10 2016-09-28 三菱瓦斯化学株式会社 半导体元件的清洗用液体组合物、和半导体元件的清洗方法
CN107155367A (zh) * 2014-06-30 2017-09-12 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
CN109962015A (zh) * 2017-12-22 2019-07-02 长鑫存储技术有限公司 用于改善铜线短路的制程工艺
CN113969215A (zh) * 2020-07-23 2022-01-25 凯斯科技股份有限公司 洗涤液组合物及使用其的洗涤方法

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
JP4282054B2 (ja) * 2002-09-09 2009-06-17 東京応化工業株式会社 デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
US20040220066A1 (en) * 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
US7442675B2 (en) * 2003-06-18 2008-10-28 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and method of cleaning semiconductor substrate
US6930017B2 (en) 2003-08-21 2005-08-16 Micron Technology, Inc. Wafer Cleaning method and resulting wafer
JP2005075924A (ja) * 2003-08-29 2005-03-24 Neos Co Ltd シリカスケール除去剤
KR100593668B1 (ko) 2004-01-20 2006-06-28 삼성전자주식회사 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법
EP1715510B2 (en) * 2004-02-09 2016-02-24 Mitsubishi Chemical Corporation Substrate cleaning liquid for semiconductor device and cleaning method
US7498295B2 (en) 2004-02-12 2009-03-03 Air Liquide Electronics U.S. Lp Alkaline chemistry for post-CMP cleaning comprising tetra alkyl ammonium hydroxide
US7435712B2 (en) 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4390616B2 (ja) 2004-04-27 2009-12-24 Necエレクトロニクス株式会社 洗浄液及び半導体装置の製造方法
WO2006056298A1 (en) * 2004-11-25 2006-06-01 Basf Aktiengesellschaft Resist stripper and residue remover for cleaning copper surfaces in semiconductor processing
KR20060064441A (ko) * 2004-12-08 2006-06-13 말린크로트 베이커, 인코포레이티드 비수성 비부식성 마이크로전자 세정 조성물
SG158920A1 (en) * 2005-01-27 2010-02-26 Advanced Tech Materials Compositions for processing of semiconductor substrates
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
KR100675284B1 (ko) * 2005-02-01 2007-01-26 삼성전자주식회사 마이크로일렉트로닉 세정제 및 이것을 사용하여반도체소자를 제조하는 방법
US7365045B2 (en) * 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
KR20060108436A (ko) * 2005-04-13 2006-10-18 매그나칩 반도체 유한회사 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
JP4667147B2 (ja) * 2005-07-15 2011-04-06 株式会社トクヤマ 基板洗浄液
US8772214B2 (en) * 2005-10-14 2014-07-08 Air Products And Chemicals, Inc. Aqueous cleaning composition for removing residues and method using same
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
KR100770217B1 (ko) * 2006-06-12 2007-10-26 삼성전자주식회사 포토레지스트 제거용 조성물 및 이를 이용한 범프 전극의형성 방법
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
SG175559A1 (en) * 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
ES2356109T3 (es) * 2007-02-14 2011-04-05 Mallinckrodt Baker, Inc. Formulaciones basadas en oxometalato activadas por peróxido para la eliminación de residuos de grabado.
JP4848504B2 (ja) * 2007-03-14 2011-12-28 公益財団法人新産業創造研究機構 セラミックス基板又は無機耐熱性基板の洗浄方法及びこれを用いた素子の製造方法並びに素子
KR20100051839A (ko) * 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
KR100900341B1 (ko) 2007-08-21 2009-06-02 (주)켐넥스 액정 표시 패널 세정제
JP5244916B2 (ja) * 2007-11-13 2013-07-24 サッチェム,インコーポレイテッド 損傷のない半導体の湿式洗浄のための高い負のゼータ電位の多面体シルセスキオキサン組成物および方法
JP5412722B2 (ja) * 2007-11-27 2014-02-12 富士通株式会社 電子装置の製造方法
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
JP4903242B2 (ja) * 2008-10-28 2012-03-28 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 多金属デバイス処理のためのグルコン酸含有フォトレジスト洗浄組成物
RU2011139105A (ru) 2009-02-25 2013-04-10 Авантор Перформанс Матириалз, Инк. Композиции для удаления фоторезиста для очистки ионно-имплантированного фоторезиста с пластин полупроводниковых устройств
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8298751B2 (en) 2009-11-02 2012-10-30 International Business Machines Corporation Alkaline rinse agents for use in lithographic patterning
DE102011050136A1 (de) 2010-09-03 2012-03-08 Schott Solar Ag Verfahren zum nasschemischen Ätzen einer Siliziumschicht
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
JP6066552B2 (ja) * 2011-12-06 2017-01-25 関東化學株式会社 電子デバイス用洗浄液組成物
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US9158202B2 (en) * 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
JP6203525B2 (ja) 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
JP6588150B2 (ja) * 2016-03-01 2019-10-09 東京応化工業株式会社 半導体基板又は装置の洗浄液及び洗浄方法
US11353794B2 (en) * 2017-12-22 2022-06-07 Versum Materials Us, Llc Photoresist stripper
KR20220056194A (ko) * 2019-08-30 2022-05-04 다우 글로벌 테크놀로지스 엘엘씨 포토레지스트 박리 조성물

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4744834A (en) * 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US5091103A (en) * 1990-05-01 1992-02-25 Alicia Dean Photoresist stripper
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
JP3160344B2 (ja) * 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
WO1994006265A1 (de) * 1992-09-03 1994-03-17 Circuit Chemical Products Gmbh Reinigungsmittelgemisch zum reinigen von gedruckten schaltungen und verfahren hierzu
US5308745A (en) * 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3422117B2 (ja) * 1994-01-28 2003-06-30 和光純薬工業株式会社 新規な表面処理方法及び処理剤
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5478436A (en) * 1994-12-27 1995-12-26 Motorola, Inc. Selective cleaning process for fabricating a semiconductor device
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5989353A (en) * 1996-10-11 1999-11-23 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5709756A (en) * 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
JP2001508239A (ja) * 1997-01-09 2001-06-19 アドバンスド ケミカル システムズ インターナショナル,インコーポレイテッド 水性フッ化アンモニウムおよびアミンを用いた、半導体ウエハ洗浄組成物および方法
US5798323A (en) * 1997-05-05 1998-08-25 Olin Microelectronic Chemicals, Inc. Non-corrosive stripping and cleaning composition
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6211126B1 (en) * 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
US6432209B2 (en) * 1998-03-03 2002-08-13 Silicon Valley Chemlabs Composition and method for removing resist and etching residues using hydroxylazmmonium carboxylates
US6225030B1 (en) * 1998-03-03 2001-05-01 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating method for substrates
PT1105778E (pt) * 1998-05-18 2009-09-23 Mallinckrodt Baker Inc Composições alcalinas contendo silicato para limpeza de substratos microelectrónicos
US6043005A (en) * 1998-06-03 2000-03-28 Haq; Noor Polymer remover/photoresist stripper
US6103680A (en) * 1998-12-31 2000-08-15 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition and method for removing photoresist and/or plasma etching residues
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
JP3372903B2 (ja) * 1999-06-21 2003-02-04 ニチゴー・モートン株式会社 フォトレジスト剥離剤
JP3410403B2 (ja) * 1999-09-10 2003-05-26 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP4283952B2 (ja) * 1999-10-12 2009-06-24 多摩化学工業株式会社 非鉄金属洗浄用洗浄液組成物
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6417147B2 (en) * 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
WO2002045148A2 (de) * 2000-11-29 2002-06-06 Infineon Technologies Ag Reinigungslösung für halbleiterscheiben im beol-bereich
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
CN100403169C (zh) * 2001-07-13 2008-07-16 Ekc技术公司 亚砜吡咯烷酮链烷醇胺剥离和清洗组合物
US7393819B2 (en) * 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101735903B (zh) * 2008-11-04 2012-02-01 江阴市润玛电子材料有限公司 一种太阳能光伏专用电子清洗剂
CN101760355B (zh) * 2008-12-17 2012-08-22 气体产品与化学公司 用于CoWP和多孔电介质的湿清洁组合物
CN101993797A (zh) * 2009-08-05 2011-03-30 气体产品与化学公司 用于金属基底的半水性剥离和清洁制剂及其使用方法
CN102004399A (zh) * 2009-08-31 2011-04-06 气体产品与化学公司 富含水的剥离和清洗制剂及其使用方法
CN102004399B (zh) * 2009-08-31 2014-11-19 气体产品与化学公司 富含水的剥离和清洗制剂及其使用方法
CN102168271A (zh) * 2010-01-28 2011-08-31 艾克索防腐研究有限公司 汽相腐蚀抑制剂组合物、其制备方法及其用于抗腐蚀的临时保护的用途
CN102168271B (zh) * 2010-01-28 2015-09-09 艾克索防腐研究有限公司 汽相腐蚀抑制剂组合物、其制备方法及其用于抗腐蚀的临时保护的用途
CN104152297B (zh) * 2010-05-14 2019-03-15 富士胶片株式会社 清洗组合物、半导体装置的制造方法及清洗方法
CN102242025A (zh) * 2010-05-14 2011-11-16 富士胶片株式会社 清洗组合物、半导体装置的制造方法及清洗方法
CN104152297A (zh) * 2010-05-14 2014-11-19 富士胶片株式会社 清洗组合物、半导体装置的制造方法及清洗方法
CN101838111A (zh) * 2010-05-20 2010-09-22 合肥茂丰电子科技有限公司 玻璃基板蚀刻液及其制备方法
CN102662312A (zh) * 2012-04-20 2012-09-12 陕西科技大学 一种金属基印刷ps 版上预感光涂层的清洗液及清洗方法
WO2015000211A1 (zh) * 2013-07-03 2015-01-08 北京科华微电子材料有限公司 一种新型的光刻胶剥离液及其应用工艺
CN105210176B (zh) * 2014-04-10 2016-09-28 三菱瓦斯化学株式会社 半导体元件的清洗用液体组合物、和半导体元件的清洗方法
CN107155367A (zh) * 2014-06-30 2017-09-12 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
CN107155367B (zh) * 2014-06-30 2021-12-21 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
US11978622B2 (en) 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
CN109962015A (zh) * 2017-12-22 2019-07-02 长鑫存储技术有限公司 用于改善铜线短路的制程工艺
CN109962015B (zh) * 2017-12-22 2021-11-02 长鑫存储技术有限公司 用于改善铜线短路的制程工艺
CN113969215A (zh) * 2020-07-23 2022-01-25 凯斯科技股份有限公司 洗涤液组合物及使用其的洗涤方法
US11732217B2 (en) 2020-07-23 2023-08-22 Kctech Co., Ltd. Cleaning solution composition and cleaning method using the same

Also Published As

Publication number Publication date
DE60218468D1 (de) 2007-04-12
PL367434A1 (en) 2005-02-21
PL199523B1 (pl) 2008-09-30
JP2009081445A (ja) 2009-04-16
KR20040018438A (ko) 2004-03-03
EP1404797A1 (en) 2004-04-07
CA2452885C (en) 2011-09-13
WO2003006598A1 (en) 2003-01-23
US20040220065A1 (en) 2004-11-04
RS1204A (en) 2007-02-05
DK1404797T3 (da) 2007-06-11
ATE355356T1 (de) 2006-03-15
TWI262946B (en) 2006-10-01
RS51832B (en) 2012-02-29
IL159762A0 (en) 2004-06-20
ZA200400067B (en) 2004-11-18
AU2002326341A1 (en) 2003-01-29
NO20040068L (no) 2004-03-09
KR101009550B1 (ko) 2011-01-18
IL159762A (en) 2006-12-31
IN2004CH00044A (zh) 2005-12-02
EP1404797B1 (en) 2007-02-28
MY131912A (en) 2007-09-28
NO20040068D0 (no) 2004-01-08
BR0210888A (pt) 2004-06-22
DE60218468T2 (de) 2007-11-15
CA2452885A1 (en) 2003-01-23
PT1404797E (pt) 2007-04-30
JP2004536910A (ja) 2004-12-09
ES2282453T3 (es) 2007-10-16
CN100410359C (zh) 2008-08-13
JP4256258B2 (ja) 2009-04-22
JP4753986B2 (ja) 2011-08-24

Similar Documents

Publication Publication Date Title
CN100410359C (zh) 具有改进的基板相容性的无氨碱性微电子清洗组合物
CN100513545C (zh) 包含无氨氟化物盐的微电子清洗组合物
JP4819429B2 (ja) 残留物を除去するための組成物及び方法
EP1914296B1 (en) Stripper containing an acetal or a ketal for removing post-etched phot-resist, etch polymer and residue
JP4755060B2 (ja) 残留物を除去するための水性洗浄組成物及びそれを使用する方法
CN1659481A (zh) 包含氧化剂和有机溶剂的微电子清洁组合物
CN1847382A (zh) 用于清洗半导体器件的组合物及利用该组合物清洗半导体器件的方法
JP7022100B2 (ja) ポストエッチング残留物洗浄組成物及びその使用方法
CN1526007A (zh) 具有改进的基板相容性的无氨碱性微电子清洗组合物
TW202223075A (zh) 清潔組合物、使用其的清潔方法及製造半導體裝置的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: ANWANTUO SPECIAL MATERIAL CO., LTD.

Free format text: FORMER NAME: MALLINCKRODT BAKER, INC.

CP01 Change in the name or title of a patent holder

Address after: New jersey, USA

Patentee after: AVANTOR PERFORMANCE MATERIALS, Inc.

Address before: New jersey, USA

Patentee before: Mallinckrodt Baker, Inc.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170505

Address after: American Pennsylvania

Patentee after: AVANTOR PERFORMANCE MATERIALS, Inc.

Address before: New jersey, USA

Patentee before: AVANTOR PERFORMANCE MATERIALS, Inc.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20080813