CN1511335A - 具有等离子体激励线圈用的电流传感器的感应等离子体处理器 - Google Patents

具有等离子体激励线圈用的电流传感器的感应等离子体处理器 Download PDF

Info

Publication number
CN1511335A
CN1511335A CNA028105311A CN02810531A CN1511335A CN 1511335 A CN1511335 A CN 1511335A CN A028105311 A CNA028105311 A CN A028105311A CN 02810531 A CN02810531 A CN 02810531A CN 1511335 A CN1511335 A CN 1511335A
Authority
CN
China
Prior art keywords
winding
processor
lead
wire
coil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028105311A
Other languages
English (en)
Other versions
CN1287414C (zh
Inventor
Rg
R·G·弗尔特洛普
J·J·陈
T·E·威克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1511335A publication Critical patent/CN1511335A/zh
Application granted granted Critical
Publication of CN1287414C publication Critical patent/CN1287414C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

感应等离子体处理器包括具有多个绕组的RF等离子体激励线圈,每个绕组包括并联连接由单个RF源通过单个匹配网络驱动的第一端。绕组的第二端由终端电容器接地,该电容器依次由影响接地。包括绕环形核的绕组的电流传感器耦合到每个终端电容器和地之间的引线。电流传感器由接地的屏环绕。从周围的RF环境到电流传感器具有最小的电磁干扰以便提供精确的电流传感器。

Description

具有等离子体激励线圈用的电流传感器的 感应等离子体处理器
发明领域
本发明一般涉及包括RF激励线圈的感应等离子体处理器,尤其涉及包括受屏蔽的电流传感器的处理器,该传感器耦合到包括线圈绕组的低压部分支路。
背景技术
用于用在真空腔内用RF等离子体处理工件的一种类型的处理器包括响应RF源的线圈。该线圈响应RF源来产生腔内激励可电离气体的电磁场从而产生等离子体。通常,线圈在沿通常平行于所处理工件的平面水平延伸表面方向延伸的绝缘窗口上或与窗口相邻。激励的等离子体在腔内和工件相互作用以蚀刻工件或在工件上沉积材料。该工件通常是具有平面圆形表面的半导体片或固体绝缘板,例如用于平板显示器内的矩形玻璃基片,或金属板。
Ogle的美国专利4,948,458揭示了用于实现该效果的多圈螺旋平面线圈。该通常是Archimedes类型的螺旋沿径向和圆周在其内部末端和外部末端之间延伸,该内部和外部末端通过阻抗匹配网络连接到RF源。线圈产生具有穿过绝缘窗口来在靠近窗口的部分等离子体腔内加速电子和离子的磁和电场分量的振荡RF场。靠近窗口的等离子体部分中磁场的螺旋分布是线圈的每个点处的电流产生的各磁场分量之和的函数。电场的电感分量由时变磁场产生,同时电场的电容分量由线圈中的RF电压产生。电感电场是方位角的同时电容电场是垂直向下到工件的。由于在RF源的频率上线圈的传输线效应,电流和电压在不同的点处不同。
对于基于Ogle的’458专利所揭示的螺旋设计,分配螺旋线圈中的RF电流来产生环形电场以使环状等离子体靠近窗口,这是由气体吸收能量来将气体激励成等离子体的位置。在1.0到10毫托范围内的低压时,来自等离子体密度最大的环形区域的等离子体扩散趋向破坏等离子体的非均匀性并增加腔内工件中心正上的中心处的等离子体密度。但是扩散本身通常不足以补偿到腔壁的等离子体损耗且工件周围的等离子体密度不能被独立改变。在10到100毫托内的中间压强范围时,等离子体中的电子、离子和中性粒子的气相碰撞进一步妨碍了等离子体带电粒子实质扩散到环形区域。结果,在工件的环状区域中存在相对高的等离子体密度而在中心和周围的工件部分内具有较低的等离子体密度。
这些不同的工作条件导致圆环内和圆环外,以及相对工件支架平面的直角(即,腔轴)的腔中心线的不同方位角时,实质上较大的等离子体通量(flux)(即,等离子体密度)变化。这些等离子体通量的变化导致实质上入射到工件上的等离子体通量的标准偏差,即超过百分之六。入射到工件上的等离子体通量的实质标准偏差趋向引起非均匀的工件处理,即工件的不同部分被蚀刻不同的程度和/或有不同量的材料沉积其上。
我们共同待批的申请,标题为″INDUCTIVE PLASMA PROCESSOR HAVING COIL WITHPLURAL WINDINGS AND METHOD 0F CONTROLLING PLASMA DENSITY,″(Lowe HauptmanGilman and Berner Docket No.2328-050)揭示了用于提供入射到工件上的更好均匀性的等离子体通量的结构。在共同待批申请所揭示的较佳结构中,测量线圈电流振幅来检验正确的电流在线圈中流动且如有必要则帮助提供对等离子体密度的控制。
过去,帮助控制RF线圈激励的等离子体处理器工作的电参数包含了测量的参数,诸如线圈电流振幅、电压振幅和电压与电流之间的相位角。也监控了其它参数,诸如正向功率和反射功率。在驱动匹配网络或线圈的电路的高电压部分测量这些参数。结果,通常包括围绕包括线圈绕组的支路中引线的环状线圈的电路传感器通常耦合到噪声感应RF场。结果,传感器受周围的RF场影响并趋向产生不精确的线圈电流感应。
用这些现有技术结构中的线圈环形绕组的屏蔽来降低或实质上消除耦合到传感器环状线圈的电噪声通常是不可行的。这是因为,为了有效,屏必须接地且接近于耦合到电路高压部分的传感器环状线圈。高压和屏接近的要求可能导致屏和线圈之间或屏和驱动线圈的电路的其它部分之间放电。此外,接地的屏可以坚固地耦合接近高压并可以强烈地干扰电场分布。
因此,本发明的目的是提供新颖并改进的具有RF激励线圈的感应等离子体处理器,该RF激励线圈具有用于线圈内电流的改进的传感器。
本发明的另一个目的是提供感应等离子体处理器,它包括具有改进的高精度电流传感器的RF等离子体激励线圈。
本发明的又一个目的是提供包括RF等离子体激励线圈的感应等离子体处理器,该RF等离子体激励线圈具有从电磁场屏蔽的电流传感器,且其结构不会使屏特别诱发击穿(breakdown),尽管该屏接地。
发明概述
根据本发明,感应处理器包括RF等离子体激励线圈,该线圈包括具有输入端和输出端的绕组。耦合到线圈的RF源向输入端提供RF激励电流。绕组有一端与RF源和匹配网络串联而第二端由引线接地。电流传感器耦合到引线,并由接地的屏围绕以防止周围的RF场耦合到传感器。
较佳地,线圈包括多个绕组,每一个在单独的支路内且包括输入端和输出端。连接每个输入端以便由RF源和匹配网络并联驱动。由单独的引线将每个输出端接地。各电流传感器分别耦合到每个接地引线,而和每个传感器耦合的屏结构将RF场从传感器隔绝(decouipling)。
在较佳的结构中,每个支路包括耦合在支路的线圈输出端和接地引线之间的电容器。电流传感器较佳地置于电容器和接地引线之间,从而RF电压以及RF场实质上接近于0,使得对电流传感器电磁干扰(EMI)最小。
通常,传感器包括环状结构,例如环状线圈,环状磁芯,以及整流和滤波电路,且接地的屏围绕环状结构。
附图概述
图1是采用本发明的类型的真空等离子体处理器的示意图;
图2是包含于图1的处理器中的线圈结合RF源、匹配网络和电子控制电路的的电路图,其中电子控制电路用于驱动线圈并用于控制(1)连接到线圈的可变电容器的电容和(2)提供到线圈的总功率;
图3包括了用于在13.56MHz和4.0MHz激励时图2所示的线圈的绕组中驻波电流的所计算的振幅的曲线;
图4是图2的匹配网络的较佳实施例的电路图,它具有用于驱动图2线圈的电流的传感器;
图5是用于图4电路中的电流传感器和其电路的示意图;
图6是由图5的电流传感器驱动的探测电路的电路图;以及
图7是电流传感器的机械装配结构较佳实施例的示意图。
附图的详细描述
附图图1的真空等离子体工件处理器包括具有接地金属壁12的圆柱状真空腔10、金属下端板14和由具有从其中心到其周边厚度相同的绝缘窗口结构19组成的圆形上板结构18。由常规垫圈(未示出)密封真空腔10。图1的处理器可以用于蚀刻半导体、绝缘或金属衬底或用于在这些衬底上沉积材料。
从气体源(未示出)通过侧壁12内的端口20将可以被激发到等离子态的合适的气体提供到腔10的内部并进一步通过气体分布装置(未示出)均匀地分布。通过连接到端板14内端口22的真空泵(未示出),腔的内部保持在真空状态,处于可以在1-1000毫托范围内变化的压强。
通过合适的电源将腔10内的气体激发成具有受控的空间密度的等离子体。电源包括平面或球面或圆盖状线圈24,它置于窗口19的正上方并由通常具有13.56MHz固定频率的可变功率RF发生器26激励。
连接在RF发生器26的输出端和线圈24的激励端之间的阻抗匹配网络28将RF功率从发生器耦合到线圈。阻抗匹配网络28包括可变电抗,它由控制器29以已知方式响应由探测器43探测的反射到匹配网络的输入端的电压振幅和相位角的指示而改变。控制器29改变网络28中的电抗值以实现源26和包括线圈24和线圈驱动的等离子体负载的负载之间的阻抗匹配。
控制器29还响应输入装置41来控制耦合到线圈24的可变电抗。输入装置41可以是手动装置,诸如电位计或键座的键,或响应存储于计算机存储器中用于工件32的不同处理制法的信号的微处理器。制法的变量包括(1)通过端口20进入腔10的气体种类,(2)由连接到端口22的真空泵控制的腔10内的压强,(3)RF源26的总输出功率,它基本等于提供到线圈24的功率,以及(4)连接到线圈24的电容器的值。
工件32被固定地置于腔10内的工件支架(即,台板或夹盘)30;承载工件32的支架30的表面和窗口19的表面平行。工件32通常通过DC电源(未示出)施加到支架的夹盘电极上的DC电位静电夹持于支架30的表面。RF源45将射频电磁波提供到包括可变电抗(未示出)的阻抗匹配网络47。匹配网络47将源45的输出耦合到支架30。控制器29响应振幅和相位探测器49产生来的信号控制匹配网络47的可变电抗从而使源45的阻抗和支架30的电极(未示出)的阻抗匹配。耦合到支架30内电极的负载主要是腔10内的等离子体。如已知的,RF电压源45施加到支架30的电极,它和等离子体内的电荷粒子相互作用以产生工件32上的DC偏压。
围绕线圈24且在上端板18上延伸的是金属管或罐状屏蔽体34,其内部直径稍微超过壁12的内部直径。屏蔽体34将在线圈24内产生的电磁场和周围的环境隔开。圆柱状腔10的直径确定由线圈24产生的电磁场的边界。绝缘窗口结构19的直径超过腔10的直径一定程度从而绝缘窗口结构19包含腔10的整个上表面。
选择工件32的处理表面和绝缘窗口结构19的下表面之间的距离来提供在工件的暴露的、处理的表面上最均匀的等离子体通量。对于本发明的较佳实施例,工件处理的表面和绝缘窗口下部之间的距离约是腔10直径的0.2到0.4倍。
线圈24包括多个平行绕组,在源26的13.56MHz时每个绕组有足够的电长度从而用作具有总电长度约30到45°的传输线,从而产生沿绕组的长度产生驻波形。驻波形造成沿绕组长度的驻波RF的电压和电通量的变化。由绕组产生的磁通量对这些RF电通量的依赖导致在线圈24的不同绕组之下的腔10的不同部分中产生不同的等离子体密度。
在线圈的不同绕组中流动的RF电通量的变化被空间平均以有助于控制等离子体密度的空间分布。空间平均线圈的不同绕组中的这些不同的电通量可以基本防止等离子体密度中的方位角不对称,特别是在绕组的低RF电流区。可供选择地,发生器26的频率是4.0MHz,在这种情况中,线圈24的绕组是电缩短约10°到15°,使得绕组内的驻波电流和电压基本恒定。
控制器29包括微处理器33(图2),它响应(1)输入装置41,(2)探测器31产生的电压振幅和相位角信号,以及(3)存储用于控制微处理器33及控制连接到线圈24的可变电容器值和RF发生器26的输出功率的信号的程序的存储系统35。在存储系统35存储的程序中是用户匹配网络28和47的可变电抗值的控制程序。还可以在制作或安装处理器时预设源26的输出功率和连接到线圈24的电容器的值,特别如果处理器用于单个制法时。
如图2所示,线圈24包括两个平行绕组40和42,它们两者通常是以中心线圈轴44同心的并包括相对轴44沿径向并圆周地延伸的多个螺旋状圈。内部绕组40整个位于外部绕组42内,从而绕组42完全围绕绕组40。绕组40包括内部末端46和外部末端48,而绕组42具有外部末端50和内部末端52。
内部绕组40包括3个不同半径的同心圈54、56和58,以及两个直线段60和62。每个圈54、56和58是绕轴44同心的一部分圆并具有约340°的角度范围。圈54和56的相邻端通过直线段60彼此连接,而直线段62将圈56和58的相邻端彼此连接。直线段60和62沿径向并圆周地沿平行通路延伸。
外部绕组42包括两个不同半径的同心圈64和66,以及直线段68。每个圈64和66是以轴44为中心的一部分圆并具有约340°的角度范围。直线段68沿径向并圆周地延伸而将圈64和66的相邻端彼此连接。
绕组40的圈54、56和58以及部分60和62的长度之和约等于绕组42的圈64和66以及部分68的长度之和。因为绕组40和42的长度基本相等,所以它们沿它们的长度具有基本相等的驻波电压和电流变化,而不管发生器26提供给它们什么频率。
线圈24的绕组40和42由单个固定频率RF发生器26产生的RF电流并联驱动,该发生器具有受控的可变输出功率。如以下描述的,在发生器26的低(例如,4.0MHz)或高(例如,13.56MHz)频率时,在每个线圈40和42中具有单个电流最大值。在高频率时,电流最大值在约每个绕组的末端之间的一半处。电流最大值产生于相对轴44的绕组40和42的径向相对点从而通过发生器26向绕组40和42的RF激励造成的环形电场提供近似的方位角对称。
绕组40和42分别在分开的并联电路支路81和83中。支路81包括串联绕组40和可变电容器80和84,而支路83包括串联绕组42和可变电容器82和86。安排线圈24的绕组40和42的圈以使由来自匹配网络28的输出端的功率并联驱动的输入末端46和50,位于线圈轴44的相对侧,从而电流沿相同的方向从末端46和50流入绕组40和42的其余部分。末端46在线圈24的最小半径的圈54上而末端58在最大半径的圈66上。末端46和50分别由串联可变电容器80和82连接到匹配网络28的输出端。
相对轴44彼此在直径方向上相对的线圈24的输出端48和52通过串联可变电容器84和86连接到共地端。
对于源26的高频输出,设定电容器84和86的值从而绕组40和42中的驻波电流在绕组40的输入和输出端46和48以及在绕组42的末端50和52处具有最小振幅,在那里驻波电压最大。绕组40和42中的驻波电流在绕组40和42的径向相对点处具有最大值,那里驻波电压最大,这是调整电容器84和86的值的结果。驻波电流最大可以通过监控驻波电压定位。电流最大值在电压最小(接近于0伏)处产生。将绕组40和42中的驻波电流最大定位于彼此径向相对有助于提供方位角对称的等离子体密度。
电容器80和82的值帮助保持为匹配网络28调整的每个绕组40和42的阻抗。绕组40和42中驻波电流的最大振幅分别由电容器80和82的值控制。绕组40和42的物理结构和末端46、48、50和52的末端位置影响绕组40和42内的最大驻波电流的位置。
适当控制电容器80、82、84和86的值以及发生器26的总输出功率,即发生器26并联施加到绕组40和42的功率,使得在绕组40或42中的电流保持基本恒定,同时改变另一个绕组中的电流。在保持绕组40或42中的电流基本恒定的同时改变总功率的能力提供了对绕组赋能(energization)所产生的电磁场分布的实质控制。通过将绕组40或42其中一个的电流保持基本恒定,由该绕组产生且提供给腔10内等离子体的电磁场保持相对恒定。改变另一个绕组40或42中的电流使得该绕组提供给腔10内的等离子体的电磁场变化。如前所述,不同工件处理制法需要绕组40产生(deposite)的电磁功率保持基本恒定且由绕组42耦合到等离子体的功率变化。对于其它制法,需要绕组42提供到腔10中等离子体的功率分布保持恒定和支路40提供给腔10内的等离子体的功率变化。
对于不同制法,通过手动调整这些部分或通过响应存储于存储系统25且由微处理器33响应来自输入控制器41的制法信号而读取的信号自动调整来控制电容器80、82、84和86的值以及发生器26的输出功率。可供选择地,如果特定的线圈总是连同具有相同制法的处理器工作,则电容器80、82、84和86的值以及发生器26的输出功率可以在工厂中在制造处理器时或在安装处理器期间设定。
假定每个绕组40和42通常都具有6欧姆的电阻,这使得绕组42中的RMS(均方根值)电流保持基本恒定而通过根据表I调整发生器26的输出功率改变绕组40内的RMS电流和支路81和83的总电阻(X1)和(X2):
表I(R1=R2=6Ω)
情况 Ptot(W) X1(Ω) X2(Ω)   I1(A)   I2(A)
a)40和42中电流相等 1000   40   40   9.13   9.13
b)40中的电流大于42中的电流 1570   20   30   13.36   9.12
c40中的电流小于42中的电流 850   60   50   7.63   9.14
同样地,如果需要保持内部绕组40内的电流基本恒定而外部绕组42内的电流可变,则支路81(X1)和支路82(X2)的电抗和发生器26的输出功率根据表II调整。
表II(R1=R2=6Ω)
情况 Ptot(W) X1(Ω) X2(Ω)   I1(A)   I2(A)
a)40和42中电流相等 1000   40   40   9.13   9.13
b)40中的电流大于42中的电流 1570   30   20   9.12   13.36
c40中的电流小于42中的电流 850   50   60   9.14   7.63
通过改变电容器80、82、84和86的值以及源26的功率,可以实现沿方位角和径向方向入射到工件上的等离子体密度的控制。
假定对于支路81电流和阻抗分别是I1和z1而对于支路83电流和阻抗分别是I2和z2。由于每个支路由输入电容器、绕组和输出电容器的串联组合组成,因此阻抗z1或z2是来自输入(C1或C2)和输出(C3或C4)电容器以及支路81或支路83的绕组(L1或L2)的所有阻抗的总和。因此,z1=R1+j[ωL1-1/(ωC1)-1/(ωC3)]=R1+jX1,其中R1和X1=ωL1-1/(ωC1)-1/(ωC3)分别表示阻抗z1的实数(电阻)部分和虚数(电抗)部分。同样地,z2=R2+jX2,其中R2和X2=ωL2-1/(ωC2)-1/(ωC4)分别表示阻抗z2的电阻部分和电抗部分。对表I和II所示的结果的定量分析在标题为“INDUCTIVE PLASMA PROCESSOR HAVING COIL WITH PLURALWINDINGS AND METHOD OF CONTROLLING PLASMA DENSITY″(Lowe Hauptman Gilman和Berner Docket No.2328-050)的共同申请中给出。
为了方便,假定RF源26的频率是13.56MHz而在13.56MHz时每个支路40和42的电长度是77°且电容器80、82、84和86的值被适当调整因而在绕组40和42中具有相等的电流。对于这种情况,沿每个绕组40和42长度的驻波电流振幅由图3的曲线90表示。在每个绕组40和42的输入和输出端之间曲线90具有正弦状的变化。曲线90在曲线的中点处,即38°处具有约14.5安培RMS的峰值,而在绕组40和42的输入和输出端处具有约10.7安培RMS的最小相等值。因此,每个绕组40和42中的最大驻波电流超过最小驻波电流约3.8安培RMS,即约21%。
在13.56MHz频率时图2结构的工作的可能问题在于,电容器80、82、84和86必须被同步或以迭代的方法调整以便保持对绕组40和42产生的电磁场分布的所需关系。例如,为了保持工件上的方位角对称密度,需要每个线圈中的最大电流位于相对轴44径向相对处。这通过调整连接在绕组40和42的输出端和地之间的电容器84和86的值实现。调整电容器84和86的值会需要调整电容器80和82从而提供所需的驻波电流值来实现表I和II中表示的值。但是,调整电容器80和82的值会引起绕组40和42中的电流驻波形进一步地移动(shift),由此电流驻波形的最大值不再在直径上相对于线圈轴44相对。如果电流驻波最大值以这种方式移动,则进一步地调整电容器84和86的值是必要的。
为了克服以上问题,我们已经实现,如果沿绕组40和42的电流变化可以被充分降低,从而绕组40和42中的驻波电流最大值的位置不关键,即最大值不必位于线圈轴44的直径相对侧,则只需单个可变电容器连接到每个绕组40和42。换句话说,消除了同步地或迭代地调整所有4个电容器80、82、84和86的必要。
为此,降低RF源26的频率从而绕组40和42的传输线效应基本降低。如果每个绕组40和42的电长度基本小于约45°,则驻波电流的最大值和最小值之间的百分比变化将足够降低,以便使只有单个可变电容器84和86分别和绕组40和42串联,而消除了对连接在每个绕组输入端和匹配网络28的功率输出端之间的任何电容的需要。
如前所述,RF源26的频率从13.56MHz降低到4.0MHz,导致绕组40和42的电长度减少3.4倍(factor)。图3的曲线92表示调整电容器84和86,以使相等的驻波电流在绕组40和42中的情况。在13.56MHz时分析(曲线90所示的)的同一物理绕组在4.0MHz时再分析(曲线92所示的)。每个支路40和42的电长度变成22.6°(即,77°除以3.4)。曲线92在绕组40和42的输入和输出端具有约25.7安培RMS的驻波电流最小值而在绕组的中间处具有约26安培RMS的最大驻波电流。尽管事实是对于曲线92的短传输线,绕组40和42中流动的电流大于曲线90的长传输线的情况,但对于这两种情况源24的输出功率是一样的,2400瓦。对于图3的示例性相等的电流曲线90和92,电容器84和86的电容彼此相等且对于源26的13.56MHz的频率具有137皮法(pF),同时对于源26的4.0MHz的激励,电容器84和86的值是1808pF。
曲线92的最大值和最大驻波电流之间的百分比变化约2%,相比于曲线90的21%的变化。因为激励源26的相对低频导致绕组40和42的最小和最大驻波电流之间相对小的变化,所以存在由每个绕组40和42产生并提供到腔10内的等离子体的相对均匀的方位角电磁场。因此,不存在包含电容器80和82来调整绕组40和42内最大驻波电流位置的需要。表I和II提供低频激励的必要信息来调整电容器84和86的电容和RF源26的输出功率以便分别实现线圈40和42中的恒定电流.
对于源26的4.0MHz激励功率,通过调整电容器84的值而保持电容器86的值恒定且随后通过调整电容器86的值而保持电容器84的值恒定,绕组40和42中的最大驻波电流之比(I1/I2)可以从20∶1到1∶1,随后从1∶1到1∶10连续变化,其中I1是绕组40中的最大驻波电流而I2是绕组42中的最大驻波电流。当电容器84和86的值改变时,改变源26的输出功率来提供表I和II表示的相同效果。为了响应微处理器33的输出信号控制电容器80、82、84和86的值,每个电容器由不同的一个DC马达87驱动。每个马达87响应不同的微处理器33的输出信号。信号微处理器33提供到马达87的信号具有和马达的输出轴将旋转的量相当的值以便实现电容器80、82、84和86的所需电容值。匹配网络28包括由马达88驱动的可变电抗(图4中较佳地,电容器)。马达88响应微处理器33响应由存储系统35存储的程序和探测器产生的信号而产生的不同信号。探测器43产生表示(1)由匹配网络28向发生器26反射的电压振幅和(2)在反射的电压和电流之间的相位差的信号。微处理器33将适当的DC信号提供到发生器26来控制发生器的输出功率。微处理器33响应表示由RF源26和匹配网络28并联施加到支路81和83的信号,以及表示支路81和83的输出端48和52处的驻波电流的信号,如由结合图4描述的电路所产生的。
现在参考附图4,和线圈24的4.0MHz驱动相关的较佳实施例的电路图。RF源26通过相位和量探测器43和较佳地具有2000pF电容的固定的串联电容器100来驱动匹配电路28。匹配网络28包括可变并联电容102和可变串联电容104,它们具有由马达88改变的电容值。
匹配电路28的输出功率通过串联电感器106、RF电压探测器108和相位探测器109被并联耦合到支路81和83。RF电压探测器108产生表示在支路81和83的连接输入端处的RF电压峰值振幅的DC电压,而相位探测器109产生表示支路81和83的连接输入端处的RF电压和电流之间相位差的DC电压。探测器108和109的输出被反馈到微处理器33,它依次控制马达87和发生器26的输出功率来实现前述的结果。流过支路81和83的电流分别通过可变电容器84和86耦合到地。
支路81和83的输出端处的驻波电通量分别由电流振幅探测器110和112探测,分别电感耦合到连接在电容器84和86的低电压端和地之间的引线111和113。如图5所示,每个电流传感器110和112包括由缠绕环状核芯216的环状绕组214制成的变流器。在核芯216内,流过引线111或113的RF电流I(t)产生时变磁场B(t)(由环126表示)。在环状绕组214的每个圈124中磁场B(t)感应时变电场或等价的感应电流i(t)。图5所示的感应电流i(t)的方向假定流过引线111或113的驱动电流(driving current)I(t)的量随时间增加,即dI(t)/dt>0,由于i(t)和 成比例,它还与
Figure A0281053100132
成比例。核芯216可以由铁淦氧磁材料制成(已知为铁氧体)或铁磁性材料(例如,铁)以便进一步增强流过引线111或113的驱动电流和流过绕组214的感应电流之间的互感耦合。
感应电流i(t)流过电路130的两个输入端221和222之间的电阻器220并产生通过电阻器220的正弦波形。该正弦波形由二极管224整流从而只有大于二极管阈值电压(通常0.6-0.7v)的正电压可以通过二极管。电容器226、电感线圈228和电容器230形成低通滤波器,它产生电路输出端233和234的DC电压V。电压V可以被提供到微处理器33以表示分别流过末端48和52的电流。
图7中示意性地示出较佳实施例中电流传感器110或112的机械装配。电容器84或86有连接到末端48或52的一端面板140和连接到屏119的第二端面板142。引线111或113由导电金属制成,较佳地是镀银的铜并机械加工成具有有螺纹中心孔的圆柱。引线111或113被插入电流传感器110或112的中心开口,它还由绝缘盘148支持并安装于其上。通过将金属螺钉144和145固定入引线111或113的螺纹孔形成电连接从而相同的电流从末端48或52流过电容器84或86、屏119、引线111或113并最终到达外壳117中的接地板115。如前所述,流过引线111或113的电流产生时变磁场,它依次产生环状绕组214中的感应电流。传感器110或112的引线连接到电连接器(未示出),它置于由圆柱形屏121围绕的区域中接地板115上。
接地的电磁屏114和116分别置于电流传感器110和112以及电容器84和86之间,以便使来自其余装置,特别是绕组40和42的RF场电磁干扰最小。屏114或116由环形金属板119和屏121组成。屏119具有使引线111或113通过的开口。屏121是金属圆柱体,它水平地围绕传感器110或112和引线111或113。和垂直夹住传感器的屏119及板115一起,传感器110和112以及引线111或113完全和周围的RF场屏蔽,由此大大改善了电流传感器的精确度。屏119和121较佳地由镀银的铜制成。屏121仅仅机械和电连接到板115。绕组40和42输出端处所有的电压跨过电容器84和86从而连接到引线111和113的电容器端面板142实际上接地。屏114和116以及电流探测器110和112被安排和探测器43、电容器100、102及104、线圈106以及探测器108和109一起在金属外壳117内。
在参考图4的附图,每个电容器84、86、100、102和104是能控制从RF源26流到绕组40和42的相对高电流的真空电容器。因为4MHz时每个绕组40和42的电长度相对短,所以电容器84和86需要相对大电容值,它们通常具有2500pF电容器最大值。并联负载电容器102具有相对较大的1400pF的最大值以和并联支路40和42的低阻抗匹配。串联电容器104是相对较大的电容器,它具有1500pF的最大值以调谐并联支路81和83的较低的电感电抗。
较佳地具有200pF的值的,固定输入串联连接的电容器100提供源26和线圈24的并联绕组40和42之间的部分阻抗变换。包括电容器100来使并联、负载电容器102具有更合理的值;否则,电容器102将具有比具有最高1400pF最大值的电容器的相关值更大的电容值。固定电容器100还提供更好的调谐分辨率,以便用线圈24的并联绕组40和42获得更好的匹配电路28的共振调谐。
较佳地具有3.5微亨的相对大值的固定电感器106扩展了匹配网络28的调谐范围。外壳117外部且选择性地连接到内部绕组40的电感器110可以用来提供基本相等阻抗的与绕组40和42相关的并联支路81和83。如果绕组42具有基本大于绕组40电感的电感,则使用电感器110。
电压探测器108及电流传感器110和112将信号提供到微处理器33。微处理器33响应来自电压探测器108、电流传感器110和112以及相位指示探测器109的信号并产生总输出功率RF源26的指示。总功率的指示控制RF发生器26的输出功率来实现由表I和II表示的功率。电流传感器110和112所产生的信号由微处理器33用来控制改变电容器84和86的电容的马达从而确保正确的电流在绕组40和42中流动以实现表I和II中指示的电流。
在制造处理器时,相对外部绕组42转动内部绕组40来帮助控制方位角电场分布和方位角等离子体密度分布。绕组40绕轴44调整使得末端46和48可以处于和图2所示不同的位置。如果同一类型的处理器具有从一个处理器到另一个处理器一致的方位角电场和等离子体密度分布,则绕组40可以被转到预定的位置。但是,如果同一类型的不同处理器具有从一个处理器到另一个处理器不同的方位角电场和等离子体密度分布,则相对绕组42转动绕组44直到测试表明在每个特定处理器中实现了最佳一致的等离子体分布。
在已经描述和说明了本发明的特殊实施例的同时,清楚的是,可以进行所特别说明和描述实施例的细节的变化而不背离由所附权利要求书所限定的本发明的精神和范围。例如,本发明的许多原理不限于具有两个同心绕组的线圈而是可以应用具有一个或多个绕组的线圈。

Claims (14)

1.一种感应等离子体处理器,其特征在于,包括:
具有绕组的RF等离子体激励线圈,该绕组有输入端和输出端,其一端连接在与匹配网络及RF源串联的支路上,而其第二端具有接地的引线使得与流过绕组输出端的同一电流流过所述引线;
耦合到所述引线的电流传感器;以及
与所述电流传感器耦合的接地屏,它用于屏蔽周围RF环境的电磁干扰。
2.如权利要求1所述的处理器,其特征在于,还包括:
串联在线圈输出端和引线之间的电容器,它用于将来自绕组的电流通过引线耦合接地。
3.如权利要求2所述的处理器,其特征在于,所述传感器具有环形结构并位于电容器和接地之间,所述传感器具有基本处于0的RF电压和RF场。
4.如权利要求2所述的处理器,其特征在于,所述传感器包括环绕引线的环形结构,接地的屏蔽基本环绕所述环形结构。
5.如权利要求3所述的处理器,其特征在于,所述环形结构具有环形核芯。
6.如权利要求1所述的处理器,其特征在于,所述传感器包括环绕引线的环形结构,且接地的屏基本环绕所述环形结构。
7.如权利要求6所述的处理器,其特征在于,所述环形结构具有环形核芯。
8.如权利要求1所述的处理器,其特征在于,所述线圈具有多个绕组,所述多个绕组各在一个支路中并具有输入端和输出端,每个输入端被连接由RF源并联驱动,每个输出端由单独的引线连接到地;它耦合到每个单独引线的单独的电流传感器;以及接地的屏蔽结构,为了将RF场从电流传感器隔绝而与各电流传感器耦合。
9.如权利要求8所述的处理器,其特征在于,每个支路包括连接在各支路的绕组输出端和各支路的引线之间的电容器,用于将来自各支路的绕组的电流耦合到各支路的引线。
10.如权利要求9所述的处理器,其特征在于,所述传感器包括环形结构并位于电容器和引线之间,所述传感器具有基本处于0的RF电压和RF场。
11.如权利要求9所述的处理器,其特征在于,每个所述传感器包括围绕引线的环形结构,且每个接地的屏基本围绕其相关的环形结构。
12.如权利要求10所述的处理器,其特征在于,每个所述环形结构包括环形线圈。
13.如权利要求8所述的处理器,其特征在于,每个所述传感器包括围绕引线的环形结构,且每个接地的屏基本围绕其相关的环形结构。
14.如权利要求13所述的处理器,其特征在于,每个环形结构包括环形线圈。
CNB028105311A 2001-03-30 2002-03-29 具有等离子体激励线圈用的电流传感器的感应等离子体处理器 Expired - Lifetime CN1287414C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/820,709 US6583572B2 (en) 2001-03-30 2001-03-30 Inductive plasma processor including current sensor for plasma excitation coil
US09/820,709 2001-03-30

Publications (2)

Publication Number Publication Date
CN1511335A true CN1511335A (zh) 2004-07-07
CN1287414C CN1287414C (zh) 2006-11-29

Family

ID=25231519

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028105311A Expired - Lifetime CN1287414C (zh) 2001-03-30 2002-03-29 具有等离子体激励线圈用的电流传感器的感应等离子体处理器

Country Status (9)

Country Link
US (1) US6583572B2 (zh)
EP (1) EP1374277B1 (zh)
JP (1) JP2004533090A (zh)
KR (1) KR100863470B1 (zh)
CN (1) CN1287414C (zh)
AT (1) ATE364896T1 (zh)
DE (1) DE60220652T2 (zh)
TW (1) TWI255671B (zh)
WO (1) WO2002080220A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103107058A (zh) * 2011-11-10 2013-05-15 东京毅力科创株式会社 基板处理装置
CN103209537A (zh) * 2009-10-27 2013-07-17 东京毅力科创株式会社 等离子体处理装置
CN103311084A (zh) * 2012-03-13 2013-09-18 中微半导体设备(上海)有限公司 一种调节等离子体处理腔电场分布的供电系统
CN1912178B (zh) * 2005-07-29 2015-01-28 应用材料公司 双频率偏压化学气相沉积室和用其制造光掩模的方法
CN104517795A (zh) * 2013-10-01 2015-04-15 朗姆研究公司 射频输送路径的阻抗的控制
CN104768317A (zh) * 2009-10-27 2015-07-08 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN106100147A (zh) * 2015-04-29 2016-11-09 德尔福技术有限公司 分叉平衡电磁共振器
WO2017152477A1 (zh) * 2016-03-11 2017-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 用于实现阻抗匹配和功率分配的装置及半导体加工设备
CN107849694A (zh) * 2015-06-15 2018-03-27 应用材料公司 用于改良bcd及蚀刻深度性能的源rf功率分裂式内线圈
CN107846768A (zh) * 2016-09-19 2018-03-27 Invenia有限公司 感应耦合等离子体处理装置
CN109148073A (zh) * 2017-06-16 2019-01-04 北京北方华创微电子装备有限公司 线圈组件、等离子体发生装置及等离子体设备
CN109427533A (zh) * 2017-08-30 2019-03-05 Asm知识产权私人控股有限公司 衬底处理设备
CN110867406A (zh) * 2019-11-27 2020-03-06 北京北方华创微电子装备有限公司 静电卡盘及半导体加工设备

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200300649A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus, its driving method, matching circuit design system, and plasma processing method
US7298091B2 (en) * 2002-02-01 2007-11-20 The Regents Of The University Of California Matching network for RF plasma source
US6838832B1 (en) * 2002-03-08 2005-01-04 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7480571B2 (en) * 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP3723783B2 (ja) * 2002-06-06 2005-12-07 東京エレクトロン株式会社 プラズマ処理装置
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US6972560B2 (en) * 2003-04-22 2005-12-06 Delphi Technologies, Inc. Method for detecting a change in permeability of a magnetostrictive object
US6803773B1 (en) * 2003-07-08 2004-10-12 Delphi Technologies, Inc. Method and circuit for detecting a change in inductance
US7190119B2 (en) * 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
JP4551081B2 (ja) * 2003-11-12 2010-09-22 三菱重工業株式会社 プラズマcvd装置の給電システム及び給電方法
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US20070279053A1 (en) * 2006-05-12 2007-12-06 Taylor William P Integrated current sensor
CA2550904A1 (en) * 2006-06-27 2007-12-27 Malcolm B. Stephens Method and apparatus to generate thrust by inertial mass variance
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
KR100845917B1 (ko) * 2006-09-27 2008-07-11 최대규 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
US7975448B2 (en) * 2007-03-29 2011-07-12 Chicago Metallic Corporation Drywall channel with pre-punched locating tabs
US20090230089A1 (en) * 2008-03-13 2009-09-17 Kallol Bera Electrical control of plasma uniformity using external circuit
US20090250335A1 (en) * 2008-04-07 2009-10-08 Hoffman Daniel J Method of controlling plasma distribution uniformity by superposition of different constant solenoid fields
CN102239534B (zh) * 2008-12-02 2014-04-30 株式会社明电舍 真空电容器
US8319436B2 (en) 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
JP5592098B2 (ja) * 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110133732A1 (en) * 2009-12-03 2011-06-09 Allegro Microsystems, Inc. Methods and apparatus for enhanced frequency response of magnetic sensors
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8629539B2 (en) 2012-01-16 2014-01-14 Allegro Microsystems, Llc Methods and apparatus for magnetic sensor having non-conductive die paddle
US9666788B2 (en) 2012-03-20 2017-05-30 Allegro Microsystems, Llc Integrated circuit package having a split lead frame
US9812588B2 (en) 2012-03-20 2017-11-07 Allegro Microsystems, Llc Magnetic field sensor integrated circuit with integral ferromagnetic material
US9494660B2 (en) 2012-03-20 2016-11-15 Allegro Microsystems, Llc Integrated circuit package having a split lead frame
US10234513B2 (en) 2012-03-20 2019-03-19 Allegro Microsystems, Llc Magnetic field sensor integrated circuit with integral ferromagnetic material
JP5690299B2 (ja) * 2012-03-21 2015-03-25 Jswアフティ株式会社 プラズマ形成装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9190606B2 (en) 2013-03-15 2015-11-17 Allegro Micosystems, LLC Packaging for an electronic device
SG10201900327YA (en) * 2013-03-15 2019-02-27 Plasmability Llc A method of cvd plasma processing with a toroidal plasma processing apparatus
US10345343B2 (en) 2013-03-15 2019-07-09 Allegro Microsystems, Llc Current sensor isolation
KR101517489B1 (ko) * 2013-04-25 2015-05-07 피에스케이 주식회사 플라즈마 발생 장치 및 그 제어 방법, 그리고 플라즈마 발생 장치를 포함하는 기판 처리 장치
US9411025B2 (en) 2013-04-26 2016-08-09 Allegro Microsystems, Llc Integrated circuit package having a split lead frame and a magnet
JP6037292B2 (ja) 2013-08-20 2016-12-07 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
CA2928376C (en) * 2013-10-23 2023-03-07 Perkinelmer Health Sciences, Inc. Hybrid generators and methods of using them
KR101532376B1 (ko) * 2013-11-22 2015-07-01 피에스케이 주식회사 상호 유도 결합을 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101666933B1 (ko) * 2015-02-05 2016-10-17 (주)브이앤아이솔루션 유도 결합 플라즈마 처리 장치의 안테나
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3298619A4 (en) 2015-05-21 2018-12-19 Plasmability, LLC Toroidal plasma processing apparatus with a shaped workpiece holder
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US9754769B2 (en) * 2015-09-15 2017-09-05 Lam Research Corporation Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108271307B (zh) * 2016-12-30 2019-11-05 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置与等离子体产生装置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US10536130B2 (en) * 2017-08-29 2020-01-14 Mks Instruments, Inc. Balancing RF circuit and control for a cross-coupled SIMO distribution network
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI792598B (zh) 2017-11-17 2023-02-11 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11521831B2 (en) 2019-05-21 2022-12-06 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7298320B2 (ja) * 2019-06-11 2023-06-27 日新電機株式会社 プラズマ処理装置、プラズマ処理方法、及びプラズマ処理装置用プログラム
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
US10991644B2 (en) 2019-08-22 2021-04-27 Allegro Microsystems, Llc Integrated circuit package having a low profile
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11768230B1 (en) 2022-03-30 2023-09-26 Allegro Microsystems, Llc Current sensor integrated circuit with a dual gauge lead frame
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277752A (en) 1992-10-19 1994-01-11 At&T Bell Laboratories Method for controlling plasma processes
KR100238627B1 (ko) 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3105403B2 (ja) 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
US5589737A (en) 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
US5874704A (en) 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US5731565A (en) 1995-07-27 1998-03-24 Lam Research Corporation Segmented coil for generating plasma in plasma processing equipment
US5573595A (en) * 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5759280A (en) 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US5800619A (en) 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5808415A (en) * 1997-03-19 1998-09-15 Scientific Systems Research Limited Apparatus for sensing RF current delivered to a plasma with two inductive loops
US6028395A (en) 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP4046207B2 (ja) * 1998-08-06 2008-02-13 株式会社エフオーアイ プラズマ処理装置
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1912178B (zh) * 2005-07-29 2015-01-28 应用材料公司 双频率偏压化学气相沉积室和用其制造光掩模的方法
CN104768317B (zh) * 2009-10-27 2017-12-01 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN103209537A (zh) * 2009-10-27 2013-07-17 东京毅力科创株式会社 等离子体处理装置
CN104768317A (zh) * 2009-10-27 2015-07-08 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN103107058A (zh) * 2011-11-10 2013-05-15 东京毅力科创株式会社 基板处理装置
CN103311084A (zh) * 2012-03-13 2013-09-18 中微半导体设备(上海)有限公司 一种调节等离子体处理腔电场分布的供电系统
CN104517795A (zh) * 2013-10-01 2015-04-15 朗姆研究公司 射频输送路径的阻抗的控制
CN106100147A (zh) * 2015-04-29 2016-11-09 德尔福技术有限公司 分叉平衡电磁共振器
CN106100147B (zh) * 2015-04-29 2021-04-02 安波福技术有限公司 分叉平衡电磁共振器
CN107849694A (zh) * 2015-06-15 2018-03-27 应用材料公司 用于改良bcd及蚀刻深度性能的源rf功率分裂式内线圈
CN107849694B (zh) * 2015-06-15 2020-03-31 应用材料公司 用于改良bcd及蚀刻深度性能的源rf功率分裂式内线圈
CN107180737A (zh) * 2016-03-11 2017-09-19 北京北方微电子基地设备工艺研究中心有限责任公司 用于实现阻抗匹配和功率分配的装置及半导体加工设备
CN107180737B (zh) * 2016-03-11 2019-10-08 北京北方华创微电子装备有限公司 用于实现阻抗匹配和功率分配的装置及半导体加工设备
WO2017152477A1 (zh) * 2016-03-11 2017-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 用于实现阻抗匹配和功率分配的装置及半导体加工设备
CN107846768A (zh) * 2016-09-19 2018-03-27 Invenia有限公司 感应耦合等离子体处理装置
CN109148073A (zh) * 2017-06-16 2019-01-04 北京北方华创微电子装备有限公司 线圈组件、等离子体发生装置及等离子体设备
CN109148073B (zh) * 2017-06-16 2022-10-21 北京北方华创微电子装备有限公司 线圈组件、等离子体发生装置及等离子体设备
CN109427533A (zh) * 2017-08-30 2019-03-05 Asm知识产权私人控股有限公司 衬底处理设备
CN109427533B (zh) * 2017-08-30 2021-09-07 Asm知识产权私人控股有限公司 衬底处理设备
CN110867406A (zh) * 2019-11-27 2020-03-06 北京北方华创微电子装备有限公司 静电卡盘及半导体加工设备

Also Published As

Publication number Publication date
DE60220652D1 (de) 2007-07-26
TWI255671B (en) 2006-05-21
EP1374277B1 (en) 2007-06-13
ATE364896T1 (de) 2007-07-15
EP1374277A1 (en) 2004-01-02
KR100863470B1 (ko) 2008-10-16
US20020179250A1 (en) 2002-12-05
CN1287414C (zh) 2006-11-29
DE60220652T2 (de) 2007-10-25
US6583572B2 (en) 2003-06-24
WO2002080220A1 (en) 2002-10-10
JP2004533090A (ja) 2004-10-28
KR20040018344A (ko) 2004-03-03

Similar Documents

Publication Publication Date Title
CN1287414C (zh) 具有等离子体激励线圈用的电流传感器的感应等离子体处理器
CN100565775C (zh) 具有多个绕组线圈的感应等离子处理器和控制等离子浓度的方法
US6441555B1 (en) Plasma excitation coil
US5436528A (en) Plasma source employing spiral RF coil and method for using same
CN100421207C (zh) 等离子体处理器线圈
US6027603A (en) Inductively coupled planar source for substantially uniform plasma flux
CN1520245A (zh) 等离子体处理器装置和方法,以及天线
US5800619A (en) Vacuum plasma processor having coil with minimum magnetic field in its center
JP4540758B2 (ja) 真空プラズマ加工機
CN104521322B (zh) 用于控制等离子体边缘区域的系统和方法
CN1961402A (zh) 具有响应多个rf频率的等离子体处理器
KR20120032436A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
CN1871373A (zh) 等离子体生成设备和方法以及具有可调工作周期的rf驱动电路
KR20040023792A (ko) 유도성 플라즈마 프로세서용 적층 rf 여기 코일
JP5244123B2 (ja) プラズマ封じ込みのための電界低減装置
WO2006133132A2 (en) Combinations of plasma production devices and method and rf driver circuits with adjustable duty cycle
WO2022250145A1 (ja) プラズマ発生装置、プラズマ処理装置およびシームレスローラモールド用プラズマエッチング装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20061129