CN113966411A - 在航空部件上沉积防焦保护涂层的方法 - Google Patents

在航空部件上沉积防焦保护涂层的方法 Download PDF

Info

Publication number
CN113966411A
CN113966411A CN202080041634.5A CN202080041634A CN113966411A CN 113966411 A CN113966411 A CN 113966411A CN 202080041634 A CN202080041634 A CN 202080041634A CN 113966411 A CN113966411 A CN 113966411A
Authority
CN
China
Prior art keywords
aerospace component
atomic
protective coating
combination
aerospace
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080041634.5A
Other languages
English (en)
Inventor
大卫·A·布里兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113966411A publication Critical patent/CN113966411A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/12Blades
    • F01D5/28Selecting particular materials; Particular measures relating thereto; Measures against erosion or corrosion
    • F01D5/288Protective coatings for blades
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0025Applying surface layers, e.g. coatings, decorative layers, printed layers, to articles during shaping, e.g. in-mould printing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0067Using separating agents during or after moulding; Applying separating agents on preforms or articles, e.g. to prevent sticking to each other
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D9/00Stators
    • F01D9/02Nozzles; Nozzle boxes; Stator blades; Guide conduits, e.g. individual nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B64AIRCRAFT; AVIATION; COSMONAUTICS
    • B64FGROUND OR AIRCRAFT-CARRIER-DECK INSTALLATIONS SPECIALLY ADAPTED FOR USE IN CONNECTION WITH AIRCRAFT; DESIGNING, MANUFACTURING, ASSEMBLING, CLEANING, MAINTAINING OR REPAIRING AIRCRAFT, NOT OTHERWISE PROVIDED FOR; HANDLING, TRANSPORTING, TESTING OR INSPECTING AIRCRAFT COMPONENTS, NOT OTHERWISE PROVIDED FOR
    • B64F5/00Designing, manufacturing, assembling, cleaning, maintaining or repairing aircraft, not otherwise provided for; Handling, transporting, testing or inspecting aircraft components, not otherwise provided for
    • B64F5/10Manufacturing or assembling aircraft, e.g. jigs therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B64AIRCRAFT; AVIATION; COSMONAUTICS
    • B64FGROUND OR AIRCRAFT-CARRIER-DECK INSTALLATIONS SPECIALLY ADAPTED FOR USE IN CONNECTION WITH AIRCRAFT; DESIGNING, MANUFACTURING, ASSEMBLING, CLEANING, MAINTAINING OR REPAIRING AIRCRAFT, NOT OTHERWISE PROVIDED FOR; HANDLING, TRANSPORTING, TESTING OR INSPECTING AIRCRAFT COMPONENTS, NOT OTHERWISE PROVIDED FOR
    • B64F5/00Designing, manufacturing, assembling, cleaning, maintaining or repairing aircraft, not otherwise provided for; Handling, transporting, testing or inspecting aircraft components, not otherwise provided for
    • B64F5/60Testing or inspecting aircraft components or systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B64AIRCRAFT; AVIATION; COSMONAUTICS
    • B64GCOSMONAUTICS; VEHICLES OR EQUIPMENT THEREFOR
    • B64G1/00Cosmonautic vehicles
    • B64G1/22Parts of, or equipment specially adapted for fitting in or to, cosmonautic vehicles
    • B64G1/40Arrangements or adaptations of propulsion systems
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/002Cleaning of turbomachines
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/007Preventing corrosion
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/005Repairing methods or devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/30Manufacture with deposition of material
    • F05D2230/31Layer deposition
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/30Manufacture with deposition of material
    • F05D2230/31Layer deposition
    • F05D2230/314Layer deposition by chemical vapour deposition
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/90Coating; Surface treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Combustion & Propulsion (AREA)
  • Remote Sensing (AREA)
  • Aviation & Aerospace Engineering (AREA)

Abstract

本公开内容的实施方式大体涉及在航空部件上的保护涂层和用于沉积所述保护涂层的方法。所述保护涂层可以是防焦涂层,以减少或抑制在燃料存在下加热所述航空部件时的焦炭形成。在一个或多个实施方式中,一种用于在航空部件上沉积保护涂层的方法包括:将所述航空部件暴露于清洁工艺,以在所述航空部件上产生清洁表面;和将所述航空部件顺序地暴露于前驱物和反应物,以通过原子层沉积(ALD)工艺在所述航空部件的所述清洁表面上形成保护涂层。所述航空部件可以是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合中的一者或多者。

Description

在航空部件上沉积防焦保护涂层的方法
技术领域
本公开内容的实施方式大体涉及沉积工艺,并且具体地,涉及用于在航空部件(aerospace component)上沉积膜的气相沉积工艺。
背景技术
焦化是燃料喷嘴中因高温(例如,约600℃至约1,000℃)、喷嘴和燃烧器中的富燃料环境以及可使碳的沉积成核的金属表面而造成的已知问题。由于燃料喷嘴的几何形状是复杂的,并且具有高长宽比(aspect ratio)通路,因此难以用防焦涂层涂覆这些通路。
在涡轮机(turbine)行业中减少焦化的当前方法大多是基于机械技术,诸如控制零件的温度、控制燃料流量或添加插入件。陶瓷插入件在燃料喷嘴的出口处使用,陶瓷PVD/热喷涂热障型涂层(thermal barrier-type coating)也是如此。然而,陶瓷是昂贵的,并且易于开裂。陶瓷和热障涂层不适合高长宽比、复杂结构。
因此,需要改善的保护涂层和在航空部件上沉积保护涂层的方法。
发明内容
本公开内容的实施方式大体涉及在航空部件上的保护涂层和用于沉积所述保护涂层的方法。所述保护涂层还是防焦涂层,以减少或抑制在还原环境中在燃料存在下加热所述航空部件时的焦炭形成。在一个或多个实施方式中,一种用于在航空部件上沉积保护涂层的方法包括:将所述航空部件暴露于清洁工艺,以在所述航空部件上产生清洁表面;和将所述航空部件顺序地暴露于前驱物和反应物,以通过原子层沉积(ALD)工艺在所述航空部件的所述清洁表面上形成保护涂层。所述航空部件可以是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合中的一者或多者。
在其他实施方式中,一种用于在航空部件上沉积涂层的方法包括将所述航空部件暴露于清洁工艺,以在所述航空部件上产生清洁表面,其中所述航空部件是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合,其中所述航空部件的所述清洁表面是所述航空部件的内表面,并且其中所述航空部件的所述清洁表面具有约5至约1,000的长宽比。所述方法进一步包括将所述航空部件顺序地暴露于前驱物和反应物,以通过ALD工艺在所述航空部件的所述清洁表面上形成保护涂层。
在一些实施方式中,一种用于在航空部件上沉积涂层的方法包括将所述航空部件暴露于清洁工艺,以在所述航空部件上产生清洁表面,其中所述航空部件的所述清洁表面是所述航空部件的内表面,并且其中所述航空部件的所述清洁表面具有约5至约1,000的长宽比。所述方法还包括将所述航空部件顺序地暴露于前驱物和反应物,以通过ALD工艺在所述航空部件的所述清洁表面上形成保护涂层,其中所述保护涂层具有约10nm至约5,000nm的厚度,并且其中所述保护涂层具有小于50%的厚度变化。
具体实施方式
本公开内容的实施方式大体涉及在航空部件上的保护涂层和用于沉积所述保护涂层的方法。保护涂层还是防焦涂层,以减少或抑制在燃料存在下加热航空部件时的焦炭形成。保护涂层可以是或包括单层膜、多层膜、纳米层压膜堆叠物、聚结膜(coalescedfilm)、结晶膜或它们的任何组合。
在一个或多个实施方式中,一种用于在航空部件上沉积保护涂层的方法包括:将航空部件暴露于一个或多个清洁工艺,以在航空部件上产生清洁表面;和将航空部件顺序地暴露于一种或多种前驱物和/或一种或多种反应物,以通过原子层沉积(ALD)工艺在航空部件的清洁表面上形成保护涂层。
在一些实施方式中,如本文所描述和讨论的航空部件可以是或包括燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀、暴露于燃料(例如,航空燃料或喷气燃料)的任何其他零件或部分或它们的任何组合中的一者或多者。在其他实施方式中,如本文所描述和讨论的航空部件可以是或包括一个或多个涡轮叶片、涡轮轮叶、肋、翅片(fin)、销翅片(pin fin)或可受益于在其上沉积有保护涂层的任何其他航空部件或零件。保护涂层可沉积或以其他方式形成在航空部件的内表面和/或外表面上。
清洁工艺
在产生保护涂层之前,可任选地将航空部件暴露于一种或多种清洁工艺。在清洁工艺期间,将一种或多种污染物从航空部件去除,以产生清洁表面。污染物可以是或包括氧化物、有机物或有机残留物、碳、油、垢(soil)、颗粒、碎片和/或其他污染物或它们的任何组合。在航空部件上产生保护涂层之前,先要去除这些污染物。
清洁工艺可以是或包括一种或多种喷丸加工或纹理化工艺、真空净化、溶剂清洁、酸清洁、碱或腐蚀清洁、湿清洁、臭氧清洁、等离子体清洁、超声处理或它们的任何组合。一旦清洁和/或纹理化,随后沉积的保护涂层与未暴露于清洁工艺的情况相比,对航空部件的清洁表面或以其他方式变更的表面具有更强的粘附力。
在一个或多个示例中,航空部件的表面可用珠、沙、碳酸盐或其他颗粒进行喷丸处理或以其他方式暴露于珠、沙、碳酸盐或其他颗粒,以从中去除氧化物和其他污染物和/或使航空部件的表面纹理化。在一些示例中,可将航空部件放置到脉冲推拉系统(pulsedpush-pull system)内的腔室中并且暴露于净化气体或液体(例如,N2、Ar、He、一种或多种醇(甲醇、乙醇、丙醇和/或其他醇)、H2O或它们的任何组合)和真空净化的循环,以从在航空部件上的小孔去除碎片。在其他示例中,可将航空部件的表面暴露于可在等离子体腔室中或由远程等离子体系统产生的氢等离子体、氧或臭氧等离子体和/或氮等离子体。
在一些示例中,诸如为了去除有机物或去除氧化物,可将航空部件的表面暴露于氢等离子体,然后进行脱气,然后暴露于臭氧处理。在其他示例中,诸如为了去除有机物,可将航空部件的表面暴露于湿清洁,包括:浸泡在碱性脱脂溶液中、冲洗、将表面暴露于酸清洁剂(例如,硫酸、磷酸、盐酸、氢氟酸或它们的任何组合)、冲洗,并且将表面暴露于去离子水超声浴。在一些示例中,诸如为了去除氧化物,可将航空部件的表面暴露于湿清洁,包括:将表面暴露于稀酸溶液(例如,乙酸、盐酸、氢氟酸或它们的组合)、冲洗,并且将表面暴露于去离子水超声浴。在一个或多个示例中,诸如为了去除颗粒,可将航空部件的表面暴露于超声处理(例如,兆频超声处理(megasonication))和/或超临界流体(二氧化碳、水、一种或多种醇)清洗,接着暴露于净化气体或液体(例如,N2、Ar、He、一种或多种醇、H2O或它们的任何组合)和真空净化的循环,以从表面去除颗粒并且干燥表面。在一些示例中,可将航空部件暴露于加热或干燥工艺,诸如将航空部件加热到约50℃、约65℃或约80℃至约100℃、约120℃或约150℃的温度,并且将表面暴露于净化气体。可将航空部件在烘箱中加热或暴露于灯来进行加热或干燥工艺。任选地,可推动热气通过内部通路以加速干燥。任选地,可在不进行加热或进行加热的情况下在还原气氛中干燥所述部件。
在各种实施方式中,航空部件的清洁表面可以是航空部件的一个或多个内表面和/或一个或多个外表面。航空部件的清洁表面可以是或包括一种或多种材料,诸如镍、镍超合金、不锈钢、钴、铬、钼、铁、钛、它们的合金或它们的任何组合。在一个或多个示例中,航空部件的清洁表面具有约5至约1,000的长宽比,诸如约20至约500。
在一些示例中,保护涂层具有约10nm至约5,000nm、约100nm至约4,000nm或约500nm至约2,000nm的厚度。另外,保护涂层可具有小于200%、小于100%、小于25%、小于5%或小于0.5%的厚度变化。
气相沉积工艺
在一个或多个实施方式中,保护涂层减少或抑制在燃料(诸如航空燃料、喷气燃料、煤油或类似者)存在下加热航空部件时的焦炭形成。在一些示例中,保护涂层可以是或包括一种或多种材料,诸如氧化铝、氮化铝、氧氮化铝、氧化硅、氮化硅、氧氮化硅、氧化铬、氧化钽、氮化钽、氧氮化钽、它们的合金或它们的任何组合。
在一个或多个实施方式中,一种用于在航空部件上沉积保护涂层的方法包括将航空部件顺序地暴露于铝前驱物和一种或多种反应物,以通过ALD工艺在航空部件的表面上形成含铝层。在一些示例中,反应物可以是或含有一种或多种氧化剂和/或一种或多种氮化剂。氧化剂可以是或含有水、臭氧、氧(O2)、原子氧、一氧化二氮、一种或多种过氧化物(例如,过氧化氢、其他无机过氧化物、有机过氧化物)、一种或多种醇(例如,甲醇、乙醇、丙醇或更高级醇)、它们的等离子体或它们的任何组合。氮化剂可以是或含有氨、一氧化氮、原子氮、肼、它们的等离子体或它们的任何组合。含铝层含有氧化铝、氮化铝、氧氮化铝或它们的任何组合。
在其他实施方式中,用于在航空部件上沉积保护涂层的方法包括将航空部件顺序地暴露于铬前驱物和反应物,以通过ALD工艺在航空部件的表面上形成含铬层。含铬层含有金属铬、氧化铬、氮化铬、碳化铬、硅化铬或它们的任何组合。
在一些实施方式中,纳米层压膜堆叠物形成在航空部件的表面上,其中纳米层压膜堆叠物含有含铬层和第二沉积层的交替层。可将航空部件顺序地暴露于金属或硅前驱物和第二反应物,以通过ALD在表面上形成第二沉积层。第二沉积层含有氧化铝、氮化铝、氧化硅、氮化硅、碳化硅、氧化钇、氮化钇、氮化硅钇、氧化铪、氮化铪、硅化铪、硅酸铪、氧化钛、氮化钛、硅化钛、硅酸钛或它们的任何组合。含有含铬层和第二沉积层的交替层的纳米层压膜堆叠物可用作在航空部件上的保护涂层。或者,在其他实施方式中,可将设置在航空部件上的纳米层压膜堆叠物暴露于退火工艺,以将纳米层压膜堆叠物转化为聚结膜,该聚结膜可用作在航空部件上的保护涂层。
在一个或多个实施方式中,保护涂层含有纳米层压膜堆叠物,所述纳米层压膜堆叠物含有顺序地沉积或以其他方式形成在航空部件上的一对或多对第一沉积层和第二沉积层。纳米层压膜堆叠物被示出有四对第一沉积层和第二沉积层,然而,纳米层压膜堆叠物可含有任何数量的第一沉积层和第二沉积层,如下文进一步讨论的。例如,纳米层压膜堆叠物可含有一对第一沉积层和第二沉积层至约150对第一沉积层和第二沉积层。在其他实施方式(未示出)中,保护涂层不是纳米层压膜堆叠物,而是含有沉积或以其他方式形成在航空部件上的第一沉积层或第二沉积层。在另外的实施方式中,初始地沉积含有一对或多对第一沉积层和第二沉积层的纳米层压膜堆叠物,然后,将其转化为聚结膜或结晶膜。
在其他实施方式中,保护涂层含有纳米层压膜堆叠物。纳米层压膜堆叠物含有第一沉积层和第二沉积层,并且所述方法进一步包括沉积2对至约500对第一沉积层和第二沉积层,同时增大纳米层压膜堆叠物的厚度。在一个或多个示例中,每一对的第一沉积层和第二沉积层可具有约0.2nm至约500nm的厚度。在一些示例中,所述方法进一步包括对航空部件进行退火,并且将纳米层压膜堆叠物转化为聚结膜或结晶膜。
可将航空部件暴露于第一前驱物和第一反应物,以通过气相沉积工艺在航空部件上形成第一沉积层。气相沉积工艺可以是ALD工艺、等离子体增强ALD(PE-ALD)工艺、热化学气相沉积(CVD)工艺、等离子体增强CVD(PE-CVD)工艺、脉冲CVD工艺或它们的任何组合。
在一个或多个实施方式中,气相沉积工艺是ALD工艺,并且所述方法包括将航空部件的表面顺序地暴露于第一前驱物和第一反应物,以形成第一沉积层。ALD工艺的每个循环包括将航空部件的表面暴露于第一前驱物、进行抽气净化、将航空部件暴露于第一反应物以及进行抽气净化,以形成第一沉积层。第一前驱物和第一反应物的次序可颠倒,使得ALD循环包括将航空部件的表面暴露于第一反应物、进行抽气净化、将航空部件暴露于第一前驱物以及进行抽气净化,以形成第一沉积层。
在一些示例中,在每个ALD循环期间,将航空部件暴露于第一前驱物约0.1秒至约10秒、暴露于第一反应物约0.1秒至约10秒和暴露于抽气净化约0.5秒至约30秒。在其他示例中,在每个ALD循环期间,将航空部件暴露于第一前驱物约0.5秒至约3秒、暴露于第一反应物约0.5秒至约3秒和暴露于抽气净化约1秒至约10秒。
每个ALD循环重复2、3、4、5、6、8、约10、约12或约15次至约18、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约350、约400、约500、约800、约1,000或更多次,以形成第一沉积层。例如,每个ALD循环重复2次至约1,000次、2次至约800次、2次至约500次、2次至约300次、2次至约250次、2次至约200次、2次至约150次、2次至约120次、2次至约100次、2次至约80次、2次至约50次、2次至约30次、2次至约20次、2次至约15次、2次至约10次、2次至5次、约8次至约1,000次、约8次至约800次、约8次至约500次、约8次至约300次、约8次至约250次、约8次至约200次、约8次至约150次、约8次至约120次、约8次至约100次、约8次至约80次、约8次至约50次、约8次至约30次、约8次至约20次、约8次至约15次、约8次至约10次、约20次约1,000次、约20次至约800次、约20次至约500次、约20次至约300次、约20次至约250次、约20次至约200次、约20次至约150次、约20次至约120次、约20次至约100次、约20次至约80次、约20次至约50次、约20次至约30次、约50次至约1,000次、约50次至约500次、约50次至约350次、约50次至约300次、约50次至约250次、约50次至约150次、或约50次至约100次,以形成第一沉积层。
在其他实施方式中,气相沉积工艺是CVD工艺,并且所述方法包括同时地将航空部件暴露于第一前驱物和第一反应物,以形成第一沉积层。在ALD工艺或CVD工艺期间,第一前驱物和第一反应物的每一者可独立地包括一种或多种载气。在对第一前驱物和第一反应物的暴露之间,一种或多种净化气体可流过航空部件和/或流过整个处理腔室。在一些示例中,相同气体可用作载气和净化气体。示例性载气和净化气体可独立地是或包括氮(N2)、氩、氦、氖、氢(H2)或它们的任何组合中的一种或多种。
第一沉积层可具有约0.1nm、约0.2nm、约0.3nm、约0.4nm、约0.5nm、约0.8nm、约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm或约15nm至约18nm、约20nm、约25nm、约30nm、约40nm、约50nm、约60nm、约80nm、约100nm、约120nm或约150nm的厚度。例如,第一沉积层可具有约0.1nm至约150nm、约0.2nm至约150nm、约0.2nm至约120nm、约0.2nm至约100nm、约0.2nm至约80nm、约0.2nm至约50nm、约0.2nm至约40nm、约0.2nm至约30nm、约0.2nm至约20nm、约0.2nm至约10nm、约0.2nm至约5nm、约0.2nm至约1nm、约0.2nm至约0.5nm、约0.5nm至约150nm、约0.5nm至约120nm、约0.5nm至约100nm、约0.5nm至约80nm、约0.5nm至约50nm、约0.5nm至约40nm、约0.5nm至约30nm、约0.5nm至约20nm、约0.5nm至约10nm、约0.5nm至约5nm、约0.5nm至约1nm、约2nm至约150nm、约2nm至约120nm、约2nm至约100nm、约2nm至约80nm、约2nm至约50nm、约2nm至约40nm、约2nm至约30nm、约2nm至约20nm、约2nm至约10nm、约2nm至约5nm、约2nm至约3nm、约10nm至约150nm、约10nm至约120nm、约10nm至约100nm、约10nm至约80nm、约10nm至约50nm、约10nm至约40nm、约10nm至约30nm、约10nm至约20nm或约10nm至约15nm的厚度。
在一个或多个实施方式中,第一前驱物含有一种或多种铬前驱物、一种或多种铝前驱物或一种或多种铪前驱物。第一反应物含有一种或多种还原剂、一种或多种氧化剂、一种或多种氮化剂、一种或多种硅前驱物、一种或多种碳前驱物或它们的任何组合。在一些示例中,第一沉积层是含铬层,所述含铬层可以是或包括金属铬、氧化铬、氮化铬、硅化铬、碳化铬或它们的任何组合。在其他示例中,第一沉积层是含铝层,所述含铝层可以是或包括金属铝、氧化铝、氮化铝、硅化铝、碳化铝或它们的任何组合。在另外的示例中,第一沉积层是含铪层,所述含铪层可以是或包括金属铪、氧化铪、氮化铪、硅化铪、碳化铪或它们的任何组合。
铬前驱物可以是或包括环戊二烯铬化合物、羰基铬化合物、乙酰丙酮铬化合物、二氮杂二烯基铬化合物、它们的取代物、它们的络合物、它们的外延物(abduct)、它们的盐或它们的任何组合中的一种或多种。示例性铬前驱物可以是或包括双(环戊二烯)铬(Cp2Cr)、双(五甲基环戊二烯)铬((Me5Cp)2Cr)、双(异丙基环戊二烯)铬((iPrCp)2Cr)、双(乙苯)铬((EtBz)2Cr)、六羰基铬(Cr(CO)6)、乙酰丙酮铬(Cr(acac)3(又称三(2,4-戊二酮)铬))、六氟乙酰丙酮铬(Cr(hfac)3)、三(2,2,6,6-四甲基-3,5-庚二酸)铬(III){Cr(tmhd)3}、双(1,4-二叔丁基二氮杂二烯基)铬(III)、它们的异构体、它们的络合物、它们的外延物、它们的盐或它们的任何组合。示例性二氮杂二烯基铬化合物可具有以下化学式:
Figure BDA0003392219530000081
其中每个R和R'独立地选自H、C1-C6烷基、芳基、酰基、烷基酰胺基、酰肼基、甲硅烷基、醛基、酮基、C2-C4烯基、炔基或它们的取代物。在一些示例中,每个R独立地是选自甲基、乙基、丙基、丁基或它们的异构体的C1-C6烷基,并且R'为H。例如,R是甲基且R'是H,R是乙基且R'是H,R是异丙基且R'是H,或者R是叔丁基且R'是H。
铝前驱物可以是或包括烷基铝化合物中的一种或多种、烷氧基铝化合物中的一种或多种、乙酰丙酮铝化合物中的一种或多种、它们的取代物、它们的络合物、它们的外延物、它们的盐或它们的任何组合。示例性铝前驱物可以是或包括三甲基铝、三乙基铝、三丙基铝、三丁基铝、三甲氧基铝、三乙氧基铝、三丙氧基铝、三丁氧基铝、乙酰丙酮铝(Al(acac)3(也称为三(2,4-戊二酮)铝))、六氟乙酰丙酮铝(Al(hfac)3)、三二新戊酰基甲烷化铝(trisdipivaloylmethanatoaluminum,DPM3Al,(C11H19O2)3Al)、它们的异构体、它们的络合物、它们的外延物、它们的盐或它们的任何组合。
在一个或多个示例中,所述前驱物是或含有一种或多种烷基铝化合物,诸如三甲基铝(TMA)。烷基铝化合物(例如,TMA)具有大于95%、大于97%或大于99%的纯度,诸如约99.3%、约99.5重量%、约99.7重量%或约99.9重量%至约99.95重量%、约99.99重量%、约99.995重量%、约99.999重量%、约99.9999重量%或更高。在一个或多个示例中,烷基铝化合物(例如,TMA)具有99.5重量%或更高的纯度,诸如约99.9重量%至约99.999重量%。
铪前驱物可以是或包括一种或多种环戊二烯铪化合物、一种或多种氨基铪化合物、一种或多种烷基铪化合物、一种或多种烷氧基铪化合物、它们的取代物、它们的络合物、它们的外延物、它们的盐或它们的任何组合。示例性铪前驱物可以是或包括双(甲基环戊二烯)二甲基铪((MeCp)2HfMe2)、双(甲基环戊二烯)甲基甲氧基铪((MeCp)2Hf(OMe)(Me))、双(环戊二烯)二甲基铪(((Cp)2HfMe2)、四(叔丁氧基)铪、异丙醇铪((iPrO)4Hf)、四(二甲氨基)铪(TDMAH)、四(二乙氨基)铪(TDEAH)、四(乙基甲氨基)铪(TEMAH)、它们的异构体、它们的络合物、它们的外延物、它们的盐或它们的任何组合。
钛前驱物可以是或包括一种或多种环戊二烯钛化合物、一种或多种氨基钛化合物、一种或多种烷基钛化合物、一种或多种烷氧基钛化合物、它们的取代物、它们的络合物、它们的外延物、它们的盐或它们的任何组合。示例性钛前驱物可以是或包括双(甲基环戊二烯)二甲基钛((MeCp)2TiMe2)、双(甲基环戊二烯)甲基甲氧基钛((MeCp)2Ti(OMe)(Me))、双(环戊二烯)二甲基钛((Cp)2TiMe2)、四(叔丁氧基)钛、异丙醇钛((iPrO)4Ti)、四(二甲氨基)钛(TDMAT)、四(二乙氨基)钛(TDEAT)、四(乙基甲氨基)钛(TEMAT)、它们的异构体、它们的络合物、它们的外延物、它们的盐或它们的任何组合。
在一个或多个示例中,第一沉积层是可以是或包括金属铬的含铬层,并且第一反应物含有一种或多种还原剂。在一些示例中,第一沉积层是可以是或包括金属铝的含铝层,并且第一反应物含有一种或多种还原剂。在其他示例中,第一沉积层是可以是或包括金属铪的含铪层,并且第一反应物含有一种或多种还原剂。示例性还原剂可以是或包括氢(H2)、氨、肼、一种或多种肼化合物、一种或多种醇、环己二烯、二氢吡嗪、含铝化合物、它们的外延物、它们的盐、它们的等离子体衍生物或它们的任何组合。
在一些示例中,第一沉积层是可以是或包括氧化铬的含铬层,并且第一反应物含有一种或多种氧化剂。在其他示例中,第一沉积层是可以是或包括氧化铝的含铝层,并且第一反应物含有一种或多种氧化剂。在另外的示例中,第一沉积层是可以是或包括氧化铪的含铪层,并且第一反应物含有一种或多种氧化剂。示例性氧化剂可以是或包括水(例如蒸汽)、氧(O2)、原子氧、臭氧、一氧化二氮、一种或多种过氧化物、一种或多种醇、它们的等离子体或它们的任何组合。
在一个或多个示例中,第一沉积层是可以是或包括氮化铬的含铬层,并且第一反应物含有一种或多种氮化剂。在其他示例中,第一沉积层是可以是或包括氮化铝的含铝层,并且第一反应物含有一种或多种氮化剂。在一些示例中,第一沉积层是可以是或包括氮化铪的含铪层,并且第一反应物含有一种或多种氮化剂。示例性氮化剂可以是或包括氨、原子氮、一种或多种肼、一氧化氮、它们的等离子体或它们的任何组合。
在一个或多个示例中,第一沉积层是可以是或包括硅化铬的含铬层,并且第一反应物含有一种或多种硅前驱物。在一些示例中,第一沉积层是可以是或包括硅化铝的含铝层,并且第一反应物含有一种或多种硅前驱物。在其他示例中,第一沉积层是可以是或包括硅化铪的含铪层,并且第一反应物含有一种或多种硅前驱物。示例性硅前驱物可以是或包括甲硅烷、乙硅烷、丙硅烷、丁硅烷、戊硅烷、己硅烷、一氯硅烷、二氯硅烷、三氯硅烷、四氯硅烷、六氯硅烷、取代硅烷、它们的等离子体衍生物或它们的任何组合。
在一些示例中,第一沉积层是可以是或包括碳化铬的含铬层,并且第一反应物含有一种或多种碳前驱物。在其他示例中,第一沉积层是可以是或包括碳化铝的含铝层,并且第一反应物含有一种或多种碳前驱物。在另外的示例中,第一沉积层是可以是或包括碳化铪的含铪层,并且第一反应物含有一种或多种碳前驱物。示例性碳前驱物可以是或包括一种或多种烷烃、一种或多种烯烃、一种或多种炔烃、它们的取代物、它们的等离子体或它们的任何组合。
在一些实施方式中,可将航空部件暴露于第二前驱物和第二反应物,以通过ALD工艺在第一沉积层上形成第二沉积层来产生纳米层压膜。第一沉积层和第二沉积层具有彼此不同的组成。在一些示例中,第一前驱物是与第二前驱物不同的前驱物,诸如第一前驱物是第一类型金属的源,并且第二前驱物是第二类型的金属的源,并且第一类型的金属和第二类型的金属是不同的。
第二前驱物可以是或包括一种或多种铝前驱物、一种或多种铪前驱物、一种或多种钇前驱物或它们的任何组合。第二反应物可以是用作第一反应物的任何其他反应物。例如,第二反应物可以是或包括一种或多种还原剂、一种或多种氧化剂、一种或多种氮化剂、一种或多种硅前驱物、一种或多种碳前驱物或它们的任何组合,如上文所描述和讨论的。在ALD工艺期间,第二前驱物和第二反应物的每一者可独立地包括一种或多种载气。在对第二前驱物和第二反应物的暴露之间,一种或多种净化气体可流过航空部件和/或流过整个处理腔室。在一些示例中,相同气体可用作载气和净化气体。示例性载气和净化气体可独立地是或包括氮(N2)、氩、氦、氖、氢(H2)或它们的任何组合中的一种或多种。
在一个或多个实施方式中,第二沉积层含有氧化铝、氮化铝、氧化硅、氮化硅、碳化硅、氧化钇、氮化钇、氮化硅钇、氧化铪、氮化铪、硅化铪、硅酸铪、氧化钛、氮化钛、硅化钛、硅酸钛或它们的任何组合。在一个或多个示例中,如果第一沉积层含有氧化铝或氮化铝,则第二沉积层不含有氧化铝或氮化铝。类似地,如果第一沉积层含有氧化铪或氮化铪,则第二沉积层不含有氧化铪或氮化铪。
ALD工艺的每个循环包括将航空部件暴露于第二前驱物、进行抽气净化、将航空部件暴露于第二反应物以及进行抽气净化,以形成第二沉积层。第二前驱物和第二反应物的次序可颠倒,使得ALD循环包括将航空部件的表面暴露于第二反应物、进行抽气净化、将航空部件暴露于第二前驱物以及进行抽气净化,以形成第二沉积层。
在一个或多个示例中,在每个ALD循环期间,将航空部件暴露于第二前驱物约0.1秒至约10秒、暴露于第二反应物约0.1秒至约10秒和暴露于抽气净化约0.5秒至约30秒。在其他示例中,在每个ALD循环期间,将航空部件暴露于第二前驱物约0.5秒至约3秒、暴露于第二反应物约0.5秒至约3秒和暴露于抽气净化约1秒至约10秒。
每个ALD循环重复2、3、4、5、6、8、约10、约12或约15次至约18、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约350、约400、约500、约800、约1,000或更多次,以形成第二沉积层。例如,每个ALD循环重复2次至约1,000次、2次至约800次、2次至约500次、2次至约300次、2次至约250次、2次至约200次、2次至约150次、2次至约120次、2次至约100次、2次至约80次、2次至约50次、2次至约30次、2次至约20次、2次至约15次、2次至约10次、2次至5次、约8次至约1,000次、约8次至约800次、约8次至约500次、约8次至约300次、约8次至约250次、约8次至约200次、约8次至约150次、约8次至约120次、约8次至约100次、约8次至约80次、约8次至约50次、约8次至约30次、约8次至约20次、约8次至约15次、约8次至约10次、约20次约1,000次、约20次至约800次、约20次至约500次、约20次至约300次、约20次至约250次、约20次至约200次、约20次至约150次、约20次至约120次、约20次至约100次、约20次至约80次、约20次至约50次、约20次至约30次、约50次至约1,000次、约50次至约500次、约50次至约350次、约50次至约300次、约50次至约250次、约50次至约150次、或约50次至约100次,以形成第二沉积层。
第二沉积层可具有约0.1nm、约0.2nm、约0.3nm、约0.4nm、约0.5nm、约0.8nm、约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm或约15nm至约18nm、约20nm、约25nm、约30nm、约40nm、约50nm、约60nm、约80nm、约100nm、约120nm或约150nm的厚度。例如,第二沉积层可具有约0.1nm至约150nm、约0.2nm至约150nm、约0.2nm至约120nm、约0.2nm至约100nm、约0.2nm至约80nm、约0.2nm至约50nm、约0.2nm至约40nm、约0.2nm至约30nm、约0.2nm至约20nm、约0.2nm至约10nm、约0.2nm至约5nm、约0.2nm至约1nm、约0.2nm至约0.5nm、约0.5nm至约150nm、约0.5nm至约120nm、约0.5nm至约100nm、约0.5nm至约80nm、约0.5nm至约50nm、约0.5nm至约40nm、约0.5nm至约30nm、约0.5nm至约20nm、约0.5nm至约10nm、约0.5nm至约5nm、约0.5nm至约1nm、约2nm至约150nm、约2nm至约120nm、约2nm至约100nm、约2nm至约80nm、约2nm至约50nm、约2nm至约40nm、约2nm至约30nm、约2nm至约20nm、约2nm至约10nm、约2nm至约5nm、约2nm至约3nm、约10nm至约150nm、约10nm至约120nm、约10nm至约100nm、约10nm至约80nm、约10nm至约50nm、约10nm至约40nm、约10nm至约30nm、约10nm至约20nm、或约10nm至约15nm的厚度。
在一些示例中,第一沉积层是含有氧化铬、氮化铬或它们的组合的含铬层,并且第二沉积层含有氧化铝、氮化硅、氧化铪、硅酸铪、氧化钛或它们的任何组合中的一种或多种。
在一个或多个实施方式中,保护涂层或纳米层压膜堆叠物可含有1、2、3、4、5、6、7、8或9对第一沉积层和第二沉积层至约10、约12、约15、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约500、约800或约1,000对第一沉积层和第二沉积层。例如,纳米层压膜堆叠物可含有1至约1,000、1至约800、1至约500、1至约300、1至约250、1至约200、1至约150、1至约120、1至约100、1至约80、1至约65、1至约50、1至约30、1至约20、1至约15、1至约10、1至约8、1至约6、1至5、1至4、1至3、约5至约150、约5至约120、约5至约100、约5至约80、约5至约65、约5至约50、约5约30、约5至约20、约5至约15、约5至约10、约5至约8、约5至约7、约10至约150、约10至约120、约10至约100、约10至约80、约10至约65、约10至约50、约10至约30、约10至约20、约10至约15或约10至约12对第一沉积层和第二沉积层。
保护涂层或纳米层压膜堆叠物可具有约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、约15nm、约20nm、约30nm、约50nm、约60nm、约80nm、约100nm或约120nm至约150nm、约180nm、约200nm、约250nm、约300nm、约350nm、约400nm、约500nm、约800nm、约1,000nm、约2,000nm、约3,000nm、约4,000nm、约5,000nm、约6,000nm、约7,000nm、约8,000nm、约9,000nm、约10,000nm或更厚的厚度。在一些示例中,保护涂层或纳米层压膜堆叠物可具有小于10μm(小于10,000nm)的厚度。例如,保护涂层或纳米层压膜堆叠物可具有约1nm至小于10,000nm、约1nm至约8,000nm、约1nm至约6,000nm、约1nm至约5,000nm、约1nm至约3,000nm、约1nm至约2,000nm、约1nm至约1,500nm、约1nm至约1,000nm、约1nm至约500nm、约1nm至约400nm、约1nm至约300nm、约1nm至约250nm、约1nm至约200nm、约1nm至约150nm、约1nm至约100nm、约1nm至约80nm、约1nm至约50nm、约20nm至约500nm、约20nm至约400nm、约20nm至约300nm、约20nm至约250nm、约20nm至约200nm、约20nm至约150nm、约20nm至约100nm、约20nm至约80nm、约20nm至约50nm、约30nm至约400nm、约30nm至约200nm、约50nm至约500nm、约50nm至约400nm、约50nm至约300nm、约50nm至约250nm、约50nm至约200nm、约50nm至约150nm、约50nm至约100nm、约80nm至约250nm、约80nm至约200nm、约80nm至约150nm、约80nm至约100nm、约50nm至约80nm、约100nm至约500nm、约100nm至约400nm、约100nm至约300nm、约100nm至约250nm、约100nm至约200nm、或约100nm至约150nm的厚度。
在一些实施方式中,可任选地将纳米层压膜堆叠物暴露于一种或多种退火工艺。在一些示例中,纳米层压膜堆叠物可在退火工艺期间转化为聚结膜或结晶膜。在退火工艺期间,高温将纳米层压膜堆叠物内的层聚结成单一结构,其中新结晶组合物增强了聚结膜或结晶膜的完整性和保护性质。在其他示例中,纳米层压膜堆叠物可在退火工艺期间被加热和致密化,但是仍然维持为纳米层压膜堆叠物。退火工艺可以是或包括热退火、等离子体退火、紫外退火、激光退火或它们的任何组合。
设置在航空部件上的纳米层压膜堆叠物在退火工艺期间被加热到约400℃、约500℃、约600℃或约700℃至约750℃、约800℃、约900℃、约1,000℃、约1,100℃、约1,200℃或更高的温度。例如,设置在航空部件上的纳米层压膜堆叠物在退火工艺期间被加热到约400℃至约1,200℃、约400℃至约1,100℃、约400℃至约1,000℃、约400℃至约900℃、约400℃至约800℃、约400℃至约700℃、约400℃至约600℃、约400℃至约500℃、约550℃至约1,200℃、约550℃至约1,100℃、约550℃至约1,000℃、约550℃至约900℃、约550℃至约800℃、约550℃至约700℃、约550℃至约600℃、约700℃至约1,200℃、约700℃至约1,100℃、约700℃至约1,000℃、约700℃至约900℃、约700℃至约800℃、约850℃至约1,200℃、约850℃至约1,100℃、约850℃至约1,000℃、或约850℃至约900℃的温度。
在退火工艺期间,纳米层压膜堆叠物可在低压(例如,约0.1托至小于760托)、环境压力(例如,约760托)和/或高压(例如,大于约760托(1大气压)至约3,678托(约5大气压))的压力下的真空下。在退火工艺期间,可将纳米层压膜堆叠物暴露于含有一种或多种气体的气氛中。在退火工艺期间使用的示例性气体可以是或包括氮(N2)、氩、氦、氢(H2)、氧(O2)或它们的任何组合。退火工艺可执行约0.01秒至约10分钟。在一些示例中,退火工艺可以是热退火并且持续约1分钟、约5分钟、约10分钟或约30分钟至约1小时、约2小时、约5小时或约24小时。在其他示例中,退火工艺可以是激光退火或尖峰退火并且持续约1毫秒、约100毫秒或约1秒至约5秒、约10秒或约15秒。
保护涂层或聚结膜或结晶膜可具有约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、约15nm、约20nm、约30nm、约50nm、约60nm、约80nm、约100nm或约120nm至约150nm、约180nm、约200nm、约250nm、约300nm、约350nm、约400nm、约500nm、约700nm、约850nm、约1,000nm、约1,200nm、约1,500nm、约2,000nm、约3,000nm、约4,000nm、约5,000nm、约6,000nm、约7,000nm、约8,000nm、约9,000nm、约10,000nm或更厚的厚度。在一些示例中,保护涂层或聚结膜或结晶膜可具有小于10μm(小于10,000nm)的厚度。例如,保护涂层或聚结膜或结晶膜可具有约1nm至小于10,000nm、约1nm至约8,000nm、约1nm至约6,000nm、约1nm至约5,000nm、约1nm至约3,000nm、约1nm至约2,000nm、约1nm至约1,500nm、约1nm至约1,000nm、约1nm至约500nm、约1nm至约400nm、约1nm至约300nm、约1nm至约250nm、约1nm至约200nm、约1nm至约150nm、约1nm至约100nm、约1nm至约80nm、约1nm至约50nm、约20nm至约500nm、约20nm至约400nm、约20nm至约300nm、约20nm至约250nm、约20nm至约200nm、约20nm至约150nm、约20nm至约100nm、约20nm至约80nm、约20nm至约50nm、约30nm至约400nm、约30nm至约200nm、约50nm至约500nm、约50nm至约400nm、约50nm至约300nm、约50nm至约250nm、约50nm至约200nm、约50nm至约150nm、约50nm至约100nm、约80nm至约250nm、约80nm至约200nm、约80nm至约150nm、约80nm至约100nm、约50nm至约80nm、约100nm至约500nm、约100nm至约400nm、约100nm至约300nm、约100nm至约250nm、约100nm至约200nm、或约100nm至约150nm的厚度。
在一个或多个实施方式中,保护涂层可具有相对高的均匀性。保护涂层可具有小于相应保护涂层的厚度的50%、小于40%或小于30%的均匀性。保护涂层可具有厚度的约0%、约0.5%、约1%、约2%、约3%、约5%、约8%或约10%至约12%、约15%、约18%、约20%、约22%、约25%、约28%、约30%、约35%、约40%、约45%或小于50%的均匀性。例如,保护涂层可具有厚度的约0%至约50%、约0%至约40%、约0%至约30%、约0%至小于30%、约0%至约28%、约0%至约25%、约0%至约20%、约0%至约15%、约0%至约10%、约0%至约8%、约0%至约5%、约0%至约3%、约0%至约2%、约0%至约1%、约1%至约50%、约1%至约40%、约1%至约30%、约1%至小于30%、约1%至约28%、约1%至约25%、约1%至约20%、约1%至约15%、约1%至约10%、约1%约8%、约1%至约5%、约1%至约3%、约1%至约2%、约5%至约50%、约5%至约40%、约5%至约30%、约5%至小于30%、约5%至约28%、约5%至约25%、约5%至约20%、约5%至约15%、约5%至约10%、约5%至约8%、约10%至约50%、约10%至约40%、约10%至约30%、约10%至约小于30%、约10%至约28%、约10%至约25%、约10%至约20%、约10%至约15%、或约10%至约12%的均匀性。
在一些实施方式中,保护涂层可在整个材料中含有不同比例的金属、由不同比例的金属形成或以其他方式由不同比例的金属产生,所述金属诸如基体金属中含有的一种或多种掺杂金属和/或一种或多种分级金属,其中金属中的任一种可以是任何化学氧化形式或状态(例如,氧化物、氮化物、硅化物、碳化物或它们的组合)。在一个或多个示例中,第一沉积层沉积到第一厚度,并且第二沉积层沉积到第二厚度。第一厚度可与第二厚度相同,或者第一厚度可不同于(小于或大于)第二厚度。例如,第一沉积层可通过两个或更多个(3、4、5、6、7、8、9、10或更多个)ALD循环沉积,以分别产生相同量的子层(例如,每个ALD循环一个子层),并且然后第二沉积层可通过一个ALD循环或小于或大于用于沉积第一沉积层的ALD循环的数量的多个ALD循环来沉积。在其他示例中,可通过CVD将第一沉积层沉积到第一厚度,并且通过ALD将第二沉积层沉积到小于第一厚度的第二厚度。
在其他实施方式中,ALD工艺可用于沉积第一沉积层和/或第二沉积层,其中通过在ALD工艺期间包括掺杂剂前驱物来掺杂沉积材料。在一些示例中,掺杂剂前驱物可包括相对于用于沉积基体材料的ALD循环的单独ALD循环中。在其他示例中,掺杂剂前驱物可与在ALD循环期间使用的任何化学前驱物共注入。在另外的示例中,掺杂剂前驱物可在ALD循环期间与化学前驱物分开地注入。例如,一个ALD循环可包括将航空部件暴露于:第一前驱物、抽气净化、掺杂剂前驱物、抽气净化、第一反应物和抽气净化,以形成沉积层。在一些示例中,一个ALD循环可包括将航空部件暴露于:掺杂剂前驱物、抽气净化、第一前驱物、抽气净化、第一反应物和抽气净化,以形成沉积层。在其他示例中,一个ALD循环可包括将航空部件暴露于:第一前驱物、掺杂剂前驱物、抽气净化、第一反应物和抽气净化,以形成沉积层。
在一个或多个实施方式中,第一沉积层和/或第二沉积层含有一种或多种基体材料和一种或多种掺杂材料。基体材料是或含有氧化铝、氧化铬或氧化铝和氧化铬的组合。掺杂材料是或含有铪、氧化铪、钇、氧化钇、铈、氧化铈、硅、氧化硅、它们的氮化物或它们的任何组合。本文描述的前驱物或试剂中的任一种都可用作掺杂前驱物或掺杂剂。示例性铈前驱物可以是或包括一种或多种四(2,2,6,6-四甲基-3,5-庚二酸)铈(IV)(Ce(TMHD)4)、三(环戊二烯)铈((C5H5)3Ce)、三(丙基环戊二烯)铈([(C3H7)C5H4]3Ce)、三(四甲基环戊二烯)铈([(CH3)4C5H]3Ce)或它们的任何组合。
掺杂材料可具有在第一沉积层、第二沉积层、纳米层压膜堆叠物和/或聚结膜或结晶膜内约0.01原子百分比(原子%)、约0.05原子%、约0.08原子%、约0.1原子%、约0.5原子%、约0.8原子%、约1原子%、约1.2原子%、约1.5原子%、约1.8原子%或约2原子%至约2.5原子%、约3原子%、约3.5原子%、约4原子%、约5原子%、约8原子%、约10原子%、约15原子%、约20原子%、约25原子%或约30原子%的浓度。例如,掺杂材料可具有在第一沉积层、第二沉积层、纳米层压膜堆叠物和/或聚结膜或结晶膜内的约0.01原子%至约30原子%、约0.01原子%至约25原子%、约0.01原子%至约20原子%、约0.01原子%至约15原子%、约0.01原子%至约12原子%、约0.01原子%至约10原子%、约0.01原子%至约8原子%、约0.01原子%至约5原子%、约0.01原子%至约4原子%、约0.01原子%至约3原子%、约0.01原子%至约2.5原子%、约0.01原子%至约2原子%、约0.01原子%至约1.5原子%、约0.01原子%至约1原子%、约0.01原子%至约0.5原子%、约0.01原子%至约0.1原子%、约0.1原子%至约30原子%、约0.1原子%至约25原子%、约0.1原子%至约20原子%、约0.1原子%至约15原子%、约0.1原子%至约12原子%、约0.1原子%至约10原子%、约0.1原子%至约8原子%、约0.1原子%至约5原子%、约0.1原子%至约4原子%、约0.1原子%至约3原子%、约0.1原子%至约2.5原子%、约0.1原子%至约2原子%、约0.1原子%至约1.5原子%、约0.1原子%至约1原子%、约0.1原子%至约0.5原子%、约1原子%至约30原子%、约1原子%至约25原子%、约1原子%至约20原子%、约1原子%至约15原子%、约1原子%至约12原子%、约1原子%至约10原子%、约1原子%至约8原子%、约1原子%至约5原子%、约1原子%至约4原子%、约1原子%至约3原子%、约1原子%至约2.5原子%、约1原子%至约2原子%或约1原子%至约1.5原子%的浓度。
在一个或多个实施方式中,保护涂层包括具有含有氧化铝(或其他基体材料)的第一沉积层和含有氧化铪(或其他掺杂材料)的第二沉积层或具有含有氧化铪(或其他掺杂材料)的第一沉积层和含有氧化铝(或其他基体材料)的第二沉积层的纳米层压膜堆叠物。在一个或多个示例中,保护涂层含有氧化铝和氧化铪的组合、铪掺杂氧化铝、铝酸铪或它们的任何组合。例如,保护涂层包括具有含有氧化铝的第一沉积层和含有氧化铪的第二沉积层或具有含有氧化铪的第一沉积层和含有氧化铝的第二沉积层的纳米层压膜堆叠物。在其他示例中,保护涂层包括由氧化铝和氧化铪的层形成的聚结膜或结晶膜。在一个或多个实施方式中,保护涂层具有在含有氧化铝(或其他基体材料)的纳米层压膜堆叠物或聚结膜或结晶膜内的约0.01原子%、约0.05原子%、约0.08原子%、约0.1原子%、约0.5原子%、约0.8原子%或约1原子%至约1.2原子%、约1.5原子%、约1.8原子%、约2原子%、约2.5原子%、约3原子%、约3.5原子%、约4原子%、约4.5原子%或约5原子%的铪(或其他掺杂材料)浓度。例如,保护涂层具有在含有氧化铝(或其他基体材料)的纳米层压膜堆叠物或聚结膜或结晶膜内的约0.01原子%至约10原子%、约0.01原子%至约8原子%、约0.01原子%至约5原子%、约0.01原子%至约4原子%、约0.01原子%至约3原子%、约0.01原子%至约2.5原子%、约0.01原子%至约2原子%、约0.01原子%至约1.5原子%、约0.01原子%至约1原子%、约0.01原子%至约0.5原子%、约0.01原子%至约0.1原子%、约0.01原子%至约0.05原子%、约0.1原子%至约5原子%、约0.1原子%至约4原子%、约0.1原子%至约3原子%、约0.1原子%至约2.5原子%、约0.1原子%至约2原子%、约0.1原子%至约1.5原子%、约0.1原子%至约1原子%、约0.1原子%至约0.5原子%、约0.5原子%至约5原子%、约0.5原子%至约4原子%、约0.5原子%至约3原子%、约0.5原子%至约2.5原子%、约0.5原子%至约2原子%、约0.5原子%至约1.5原子%、约0.5原子%至约1原子%、约1原子%至约5原子%、约1原子%至约4原子%、约1原子%至约3原子%、约1原子%至约2.5原子%、约1原子%至约2原子%或约1原子%至约1.5原子%的铪(或其他掺杂材料)浓度。
如本文所描述和讨论的航空部件(包括航空部件)可以是或包括燃料系统、涡轮机、飞行器、航空器或可包括一个或多个涡轮机(例如,压缩机、泵、涡轮风扇、增压器(supercharger)和类似者)的其他装置的一个或多个部件或其部分。示例性航空部件可以是或包括燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀、暴露于燃料(例如,航空燃料或喷气燃料)的任何其他零件或部分以及一个或多个涡轮叶片、涡轮轮片、肋、翅片、销翅片、内部冷却通道或可受益于其上沉积有保护涂层的任何其他航空部件或零件,或者它们的任何组合。
航空部件具有一个或多个外部表面或外表面和一个或多个内部表面或内表面。保护涂层可沉积或以其他方式形成在航空部件的内表面和/或外表面上。内表面可限定在航空部件内延伸或含有的一个或多个空腔。空腔可以是设置在内表面之间的通道、通路、空间或类似者。空腔可具有一个或多个开口。在航空部件内的空腔的每一者典型地具有大于1的长宽比(例如,长度除以宽度)。本文描述和讨论的方法提供在具有高长宽比(大于1)的内表面上和/或在空腔内沉积和/或以其他方式形成保护涂层。
空腔的长宽比可为约2、约3、约5、约8、约10或约12至约15、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约500、约800、约1,000或更大。例如,空腔的长宽比可为约2至约1,000、约2至约500、约2至约200、约2至约150、约2至约120、约2至约100、约2约80、约2至约50、约2至约40、约2至约30、约2至约20、约2至约10、约2至约8、约5至约1,000、约5至约500、约5至约200、约5至约150、约5至约120、约5至约100、约5至约80、约5至约50、约5至约40、约5至约30、约5至约20、约5至约10、约5至约8、约10至约1,000、约10至约500、约10至约200、约10至约150、约10至约120、约10约100、约10至约80、约10至约50、约10至约40、约10至约30、约10至约20、约20至约1,000、约20至约500、约20至约200、约20至约150、约20至约120、约20至约100、约20至约80、约20至约50、约20至约40或约20至约30。
航空部件及其任何表面(包括一个或多个外部表面或外表面和/或一个或多个内部表面或内表面)可由一种或多种金属制成、含有一种或多种金属或以其他方式包括一种或多种金属,所述金属诸如镍、铬、钴、铬-钴合金、钼、铁、钛、一种或多种镍超合金、一种或多种Inconel合金、一种或多种哈氏(Hastelloy)合金、一种或多种因瓦(Invar)合金、一种或多种Inovoco合金、它们的合金或它们的任何组合。保护涂层可沉积、形成或以其他方式产生在航空部件的任何表面上,包括一个或多个外部表面或外表面和/或一个或多个内部表面或内表面。
如本文所描述和讨论的,保护涂层可以是或包括一种或多种层压膜堆叠物、聚结膜、结晶膜、分级组合物和/或被沉积或以其他方式形成在航空部件的任何表面上的整体膜。在一些示例中,保护涂层含有约1%至约100%的氧化铬。保护涂层是保形的,并且实质上遵循表面拓扑(surface topology)涂覆粗糙表面特征,包括在表面的开孔、盲孔和非视线区中。保护涂层实质上不增加表面粗糙度,并且在一些实施方式中,保护涂层可通过保形涂覆粗糙度来降低表面粗糙度,直到所述保护涂层聚结。保护涂层可含有来自沉积的颗粒,所述颗粒实质上大于航空部件的粗糙度,但是被认为是与整体膜分开的。保护涂层实质上良好地粘附并且无销孔(pinhole)。保护涂层的厚度可在40%的1-σ范围内变化。在一个或多个实施方式中,厚度变化小于20%、10%、5%、1%或0.1%的1-σ。
除了提供防焦炭沉积保护之外,当将航空部件暴露于空气、氧、硫和/或含硫化合物、酸、碱、盐(例如,Na、K、Mg、Li或Ca盐)或它们的任何组合时,保护涂层还提供了防腐蚀和氧化保护。在正常操作期间或在去除任何碳堆积物的清洁工艺期间,航空部件可能暴露于这些条件。
本文描述的一个或多个实施方式包括用于使用产生第一材料(例如,氧化铬、氧化铝和/或氮化铝)和另一种第二材料的交替纳米层压物的方法来保存下面的含铬合金的方法。第二材料可以是或包括氧化铝、氮化铝、氧氮化铝、氧化硅、氮化硅、碳化硅、氧化钇、氮化钇、氮化钇硅、氧化铪、硅酸铪、硅化铪、氮化铪、氧化钛、氮化钛、硅化钛、硅酸钛、它们的掺杂剂、它们的合金或它们的任何组合中的一种或多种。所得的膜可用作纳米层压膜堆叠物,或者膜可经受退火,其中高温将膜聚结成单一结构,其中新结晶组合物增强了该覆盖膜的完整性和保护性质。
在具体实施方式中,将铬前驱物(在约0℃至约250℃的温度下)经由气相递送以约5秒的预定脉冲长度递送到航空部件。在该工艺期间,沉积反应器在氮载气流(共约1,000sccm)下操作,其中腔室保持在约350℃的预定温度和约3.5托的压力下。在铬前驱物的脉冲之后,随后在确定的时间量内对腔室抽气并净化所有必需的气体和副产物。随后,将水(或另一种氧化剂)在约3.5托的腔室压力下脉冲到腔室中约0.1秒。然后,执行附加的腔室净化(或抽气/净化),以清除反应器中任何过量的反应物和反应副产物。该工艺根据需要重复多次,以使目标氧化铬膜达到所期望的膜厚度。
对于第二膜(例如:氧化铝),将前驱物三甲基铝(在约0℃至约30℃的温度下)经由气相递送以约0.1秒的预定脉冲长度递送到航空部件。在该工艺期间,沉积反应器在氮载气流(共约100sccm)下操作,其中腔室保持在约150℃至约350℃的预定温度和约1托至约5托的压力下。在三甲基铝的脉冲之后,随后在确定的时间量内对腔室抽气并净化所有必需的气体和副产物。随后,将水蒸气在约3.5托的腔室压力下脉冲到腔室中约0.1秒。然后,执行附加的腔室净化,以清除反应器中任何过量的反应物和反应副产物。该工艺根据需要重复多次,以使目标氧化铝膜达到所期望的膜厚度。然后,使航空部件经受在约500℃的温度下的退火炉中在约500sccm的惰性氮流下约1小时。
本公开内容的实施方式进一步涉及以下段落1-31中的任一者或多者:
1.一种用于在航空部件上沉积涂层的方法,包括:将所述航空部件暴露于清洁工艺,以在所述航空部件上产生清洁表面;和将所述航空部件顺序地暴露于一种或多种前驱物和一种或多种反应物,以通过原子层沉积(ALD)工艺在所述航空部件的所述清洁表面上形成保护涂层。
2.根据段落1所述的方法,其中所述航空部件是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合。
3.根据段落1或2所述的方法,其中所述航空部件的所述清洁表面是所述航空部件的内表面。
4.根据段落1-3中任一项所述的方法,其中所述航空部件的所述清洁表面具有约5至约1,000的长宽比。
5.根据段落1-4中任一项所述的方法,其中所述航空部件的所述清洁表面具有约20至约500的长宽比。
6.根据段落1-5中任一项所述的方法,其中所述航空部件的所述清洁表面包括镍、镍超合金、不锈钢、钴、铬、钼、铁、钛、它们的合金或它们的任何组合。
7.根据段落1-6中任一项所述的方法,其中在所述清洁工艺期间从所述航空部件去除污染物以产生所述清洁表面。
8.根据段落7所述的方法,其中所述污染物包括氧化物、有机残留物、碳、颗粒或它们的任何组合。
9.根据段落1-8中任一项所述的方法,其中所述清洁工艺包括将所述航空部件暴露于溶剂清洁、酸清洁、碱清洁、湿清洁、臭氧清洁、等离子体清洁、超声处理或它们的任何组合。
10.根据段落1-9中任一项所述的方法,其中所述保护涂层包括氧化铝、氮化铝、氧氮化铝、氧化硅、氮化硅、氧氮化硅、氧化铬、氧化钽、氮化钽、氧氮化钽、它们的合金或它们的任何组合。
11.根据段落1-10中任一项所述的方法,其中所述保护涂层减少或抑制在燃料存在下加热所述航空部件时的焦炭形成。
12.根据段落1-11中任一项所述的方法,其中所述保护涂层具有约10nm至约5,000nm的厚度。
13.根据段落1-12中任一项所述的方法,其中所述保护涂层具有约100nm至约4,000nm的厚度。
14.根据段落1-13中任一项所述的方法,其中所述保护涂层具有约500nm至约2,000nm的厚度。
15.根据段落1-14中任一项所述的方法,其中所述保护涂层具有小于200%的厚度变化。
16.根据段落1-15中任一项所述的方法,其中所述保护涂层具有小于100%的厚度变化。
17.根据段落1-16中任一项所述的方法,其中所述保护涂层具有小于25%的厚度变化。
18.根据段落1-17中任一项所述的方法,其中所述保护涂层具有小于5%的厚度变化。
19.根据段落1-18中任一项所述的方法,其中所述保护涂层具有小于0.5%的厚度变化。
20.根据段落1-19中任一项所述的方法,其中所述前驱物包括三甲基铝。
21.根据段落20所述的方法,其中所述三甲基铝具有99.5重量%或更高的纯度。
22.根据段落21所述的方法,其中所述三甲基铝具有约99.9重量%至约99.999重量%的纯度。
23.根据段落1-22中任一项所述的方法,其中所述反应物包括氧化剂和/或氮化剂。
24.根据段落1-23中任一项所述的方法,其中所述反应物包括所述氧化剂,并且其中所述氧化剂包括水、臭氧、氧(O2)、原子氧、一氧化二氮、过氧化物、醇、它们的等离子体或它们的任何组合。
25.根据段落1-24中任一项所述的方法,其中所述反应物包括所述氮化剂,并且其中所述氮化剂包括氨、原子氮、肼、它们的等离子体或它们的任何组合。
26.根据段落1-25中任一项所述的方法,其中所述前驱物包括铝前驱物,并且其中所述铝前驱物包括三(烷基)铝、三(烷氧基)铝、二酮酸铝、它们的络合物、它们的外延物、它们的盐或它们的任何组合。
27.根据段落26所述的方法,其中所述铝前驱物包括三甲基铝、三乙基铝、三丙基铝、三丁基铝、三甲氧基铝、三乙氧基铝、三丙氧基铝、三丁氧基铝、乙酰丙酮铝、六氟乙酰丙酮铝、三二新戊酰基甲烷化铝、它们的异构体、它们的络合物、它们的外延物、它们的盐或它们的任何组合。
28.根据段落1-27中任一项所述的方法,其中所述保护涂层包括纳米层压膜堆叠物。
29.根据段落28所述的方法,其中所述纳米层压膜堆叠物包括第一沉积层和第二沉积层,并且所述方法进一步包括沉积从2对至约500对的所述第一沉积层和所述第二沉积层,同时增大所述纳米层压膜堆叠物的厚度。
30.根据段落29所述的方法,其中每对的所述第一沉积层和所述第二沉积层具有约0.2nm至约500nm的厚度。
31.根据段落29或30所述的方法,进一步包括对所述航空部件进行退火,并且将所述纳米层压膜堆叠物转化为聚结膜或结晶膜。
虽然前述内容针对的是本公开内容的实施方式,但是在不背离本公开内容的基本范围的情况下可设想其他和进一步实施方式,并且本公开内容的范围由所附权利要求书的范围确定。本文描述的所有文件以引用方式并入本文,包括与本文本不矛盾的任何优先权文件和/或测试程序。从前述一般描述和具体实施方式中显而易见,虽然已经示出和描述了本公开内容的形式,但是在不背离本公开内容的精神和范围的情况下可作出各种修改。因此,不意图由此限制本公开内容。同样地,出于美国法律的目的,术语“包含”被认为与术语“包括”同义。同样地,每当在组成、元素或一组元素前面加上过渡短语“包含”时,应当理解,也设想了在同一组成或同一组元素前面加上过渡短语“基本上由……组成”、“由……组成”、“选自由……组成的组”或“是”来陈述组成、一种元素或多种元素,反之亦然。
某些实施方式和特征已经使用一组数值上限和一组数值下限进行描述。应当理解,除非另外指明,否则设想包括任何两个值的组合的范围,例如任何下限值与任何上限值的组合、任何两个下限值的组合和/或任何两个上限值的组合。某些下限、上限和范围出现在一项或多项所附权利要求中。

Claims (15)

1.一种用于在航空部件上沉积涂层的方法,包括:
将所述航空部件暴露于清洁工艺,以在所述航空部件上产生清洁表面;和
将所述航空部件顺序地暴露于前驱物和反应物,以通过原子层沉积(ALD)工艺在所述航空部件的所述清洁表面上形成保护涂层。
2.如权利要求1所述的方法,其中所述航空部件是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合,并且其中所述航空部件的所述清洁表面包括镍、镍超合金、不锈钢、钴、铬、钼、铁、钛、它们的合金或它们的任何组合。
3.如权利要求1所述的方法,其中所述航空部件的所述清洁表面是所述航空部件的内表面,并且其中所述航空部件的所述清洁表面具有约5至约1,000的长宽比。
4.如权利要求1所述的方法,其中在所述清洁工艺期间从所述航空部件去除污染物以产生所述清洁表面,并且其中所述污染物包括氧化物、有机残留物、碳、颗粒或它们的任何组合。
5.如权利要求1所述的方法,其中所述清洁工艺包括将所述航空部件暴露于溶剂清洁、酸清洁、碱清洁、湿清洁、臭氧清洁、等离子体清洁、超声处理或它们的任何组合。
6.如权利要求1所述的方法,其中所述保护涂层包括氧化铝、氮化铝、氧氮化铝、氧化硅、氮化硅、氧氮化硅、氧化铬、氧化钽、氮化钽、氧氮化钽、它们的合金或它们的任何组合。
7.如权利要求1所述的方法,其中所述保护涂层减少或抑制在燃料存在下加热所述航空部件时的焦炭形成。
8.如权利要求1所述的方法,其中所述保护涂层具有约10nm至约5,000nm的厚度,并且其中所述保护涂层具有小于5%的厚度变化。
9.如权利要求1所述的方法,其中所述前驱物包括三甲基铝,并且其中所述三甲基铝具有约99.9重量%至约99.999重量%的纯度。
10.如权利要求1所述的方法,其中:
所述反应物包括氧化剂,并且所述氧化剂包括水、臭氧、氧(O2)、原子氧、一氧化二氮、过氧化物、醇、它们的等离子体或它们的任何组合;或者
所述反应物包括氮化剂,并且所述氮化剂包括氨、原子氮、肼、它们的等离子体或它们的任何组合。
11.如权利要求1所述的方法,其中所述保护涂层包括纳米层压膜堆叠物,并且其中所述纳米层压膜堆叠物包括第一沉积层和第二沉积层,并且所述方法进一步包括沉积从2对至约500对的所述第一沉积层和所述第二沉积层,同时增大所述纳米层压膜堆叠物的厚度。
12.如权利要求11所述的方法,其中每对的所述第一沉积层和所述第二沉积层具有约0.2nm至约500nm的厚度。
13.如权利要求11所述的方法,进一步包括对所述航空部件进行退火,并且将所述纳米层压膜堆叠物转化为聚结膜或结晶膜。
14.一种在航空部件上沉积涂层的方法,包括:
将所述航空部件暴露于清洁工艺,以在所述航空部件上产生清洁表面,其中:
所述航空部件是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合,
所述航空部件的所述清洁表面是所述航空部件的内表面,并且
所述航空部件的所述清洁表面具有约5至约1,000的长宽比;和
将所述航空部件顺序地暴露于前驱物和反应物,以通过原子层沉积(ALD)工艺在所述航空部件的所述清洁表面上形成保护涂层。
15.一种在航空部件上沉积涂层的方法,包括:
将所述航空部件暴露于清洁工艺,以在所述航空部件上产生清洁表面,其中所述航空部件的所述清洁表面是所述航空部件的内表面,并且其中所述航空部件的所述清洁表面具有约5至约1,000的长宽比;和
将所述航空部件顺序地暴露于前驱物和反应物,以通过原子层沉积(ALD)工艺在所述航空部件的所述清洁表面上形成保护涂层,其中所述保护涂层具有约10nm至约5,000nm的厚度,并且其中所述保护涂层具有小于50%的厚度变化。
CN202080041634.5A 2019-05-16 2020-03-23 在航空部件上沉积防焦保护涂层的方法 Pending CN113966411A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962848953P 2019-05-16 2019-05-16
US62/848,953 2019-05-16
US16/542,968 2019-08-16
US16/542,968 US11794382B2 (en) 2019-05-16 2019-08-16 Methods for depositing anti-coking protective coatings on aerospace components
PCT/US2020/024285 WO2020231520A1 (en) 2019-05-16 2020-03-23 Methods for depositing anti-coking protective coatings on aerospace components

Publications (1)

Publication Number Publication Date
CN113966411A true CN113966411A (zh) 2022-01-21

Family

ID=73245382

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080041634.5A Pending CN113966411A (zh) 2019-05-16 2020-03-23 在航空部件上沉积防焦保护涂层的方法

Country Status (7)

Country Link
US (1) US11794382B2 (zh)
EP (1) EP3969634A4 (zh)
JP (1) JP2022532343A (zh)
KR (1) KR20210156305A (zh)
CN (1) CN113966411A (zh)
SG (1) SG11202112205WA (zh)
WO (1) WO2020231520A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US20220081763A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. Aluminum oxide protective coatings on turbocharger components and other rotary equipment components

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1433486A (zh) * 2000-06-08 2003-07-30 表面工程设计产品公司 高温不锈钢涂覆系统
US20040171280A1 (en) * 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of nanolaminate film
US20120024403A1 (en) * 2010-07-30 2012-02-02 Hamilton Sundstrand Corporation Inorganic coke resistant coatings to prevent aircraft fuel system fouling
JP2013167012A (ja) * 2012-02-15 2013-08-29 Hauzer Techno Coating Bv スチール被覆物品およびその製造方法
CN104498899A (zh) * 2014-12-13 2015-04-08 西安近代化学研究所 一种利用原子层沉积钝化层抑制金属表面积碳的方法
CN106029945A (zh) * 2014-02-13 2016-10-12 通用电气公司 反焦化涂层、其工艺和设有反焦化涂层的烃流体通道
US20170292445A1 (en) * 2014-09-22 2017-10-12 3M Innovative Properties Company Internal combustion engine components with anti-fouling properties and methods of making same
CN107313027A (zh) * 2016-04-27 2017-11-03 应用材料公司 用于半导体工艺腔室部件的保护涂层的原子层沉积

Family Cites Families (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0209307B1 (en) 1985-07-15 1988-09-07 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Cleaning of metal articles
US5217757A (en) 1986-11-03 1993-06-08 United Technologies Corporation Method for applying aluminide coatings to superalloys
FR2643087B1 (fr) 1989-02-16 1991-06-07 Unirec Procede de depot d'un revetement de type ceramique sur un substrat metallique et element comportant un revetement obtenu par ce procede
JP2823086B2 (ja) 1990-05-21 1998-11-11 日本碍子株式会社 連結部材およびその連結方法
US5362228A (en) 1991-11-04 1994-11-08 Societe Europeenne De Propulsion Apparatus for preheating a flow of gas in an installation for chemical vapor infiltration, and a densification method using the apparatus
US6379466B1 (en) 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
JP2928063B2 (ja) 1993-01-15 1999-07-28 ゼネラル・エレクトリック・カンパニイ 燃料の熱減成付着物を防止するための被覆物品および方法
US6495271B1 (en) 1993-03-01 2002-12-17 General Electric Company Spallation-resistant protective layer on high performance alloys
WO1996031687A1 (en) 1995-04-06 1996-10-10 General Electric Company Method and composite for protection of thermal barrier coating with an impermeable barrier coating
US5503874A (en) 1994-09-30 1996-04-02 General Electric Company Method for low temperature chemical vapor deposition of aluminides containing easily oxidized metals
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6872429B1 (en) 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6805750B1 (en) 1998-06-12 2004-10-19 United Technologies Corporation Surface preparation process for deposition of ceramic coating
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6042898A (en) 1998-12-15 2000-03-28 United Technologies Corporation Method for applying improved durability thermal barrier coatings
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6402898B1 (en) 1999-07-28 2002-06-11 Yeda Research And Development Co., Ltd. Coherently controlled laser distillation of chiral enantiomers
US6332926B1 (en) 1999-08-11 2001-12-25 General Electric Company Apparatus and method for selectively coating internal and external surfaces of an airfoil
DE10196055T1 (de) 2000-04-13 2003-04-03 Gelest Inc Verfahren zur Gasphasenabscheidung von Titan-Silicium-Stickstoff-Filmen
US6359089B2 (en) 2000-04-19 2002-03-19 Dupont Dow Elastomers, L.L.C. Fluorovinyl ether cure site monomers and fluoroelastomer copolymer compositions thereof
US6630244B1 (en) * 2001-03-23 2003-10-07 Delavan Inc. Carbon resistant surface coating
JP3603112B2 (ja) 2000-05-31 2004-12-22 独立行政法人産業技術総合研究所 アルミナ結晶質薄膜の低温製法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20020127336A1 (en) 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6465040B2 (en) 2001-02-06 2002-10-15 General Electric Company Method for refurbishing a coating including a thermally grown oxide
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
WO2003008552A2 (en) 2001-07-17 2003-01-30 Whitehead Institute For Biomedical Research Mll translocations specify a distinct gene expression profile, distinguishing a unique leukemia
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003044242A2 (en) 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
JP2003164819A (ja) 2001-11-30 2003-06-10 Fujikura Ltd 押出機またはその部品の清掃方法
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6949342B2 (en) 2001-12-21 2005-09-27 Whitehead Institute For Biomedical Research Prostate cancer diagnosis and outcome prediction by expression analysis
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR100442869B1 (ko) 2002-02-02 2004-08-02 삼성전자주식회사 반도체 기판 세정공정용 반도체 제조장치 및 그를 이용한반도체 기판 세정공정
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6905939B2 (en) 2002-02-27 2005-06-14 Applied Materials, Inc. Process for forming silicon oxide material
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040009665A1 (en) 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US6831021B2 (en) 2002-06-12 2004-12-14 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6808816B2 (en) 2002-09-13 2004-10-26 General Electric Company Method and coating system for reducing carbonaceous deposits on surfaces exposed to hydrocarbon fuels at elevated temperatures
EP1411210A1 (en) 2002-10-15 2004-04-21 ALSTOM Technology Ltd Method of depositing an oxidation and fatigue resistant MCrAIY-coating
US8257450B2 (en) 2002-12-18 2012-09-04 Afton Chemical Intangibles Llc Manganese compounds to inhibit both low-and high-temperature corrosion in utility and industrial furnace systems
US20070023142A1 (en) 2002-12-19 2007-02-01 Lagraff John R Airfoil refurbishment method
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7060366B2 (en) 2003-02-19 2006-06-13 General Electric Company Article including a substrate with a metallic coating and a chromium-aluminide protective coating thereon, and its preparation and use in component restoration
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100630677B1 (ko) 2003-07-02 2006-10-02 삼성전자주식회사 포토레지스트 패턴에의 불소를 포함하지 않는 탄소 함유폴리머 생성을 위한 플라즈마 전처리를 포함하는 식각 방법
US6905730B2 (en) 2003-07-08 2005-06-14 General Electric Company Aluminide coating of turbine engine component
GB0319349D0 (en) 2003-08-18 2003-09-17 Southside Thermal Sciences Sts Coatings and an optical method for detecting corrosion processes in coatings
US6921251B2 (en) 2003-09-05 2005-07-26 General Electric Company Aluminide or chromide coating of turbine engine rotor component
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7361233B2 (en) 2003-12-10 2008-04-22 General Electric Company Methods of hydrogen cleaning of metallic surfaces
US7285312B2 (en) 2004-01-16 2007-10-23 Honeywell International, Inc. Atomic layer deposition for turbine components
US20050255329A1 (en) 2004-05-12 2005-11-17 General Electric Company Superalloy article having corrosion resistant coating thereon
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP2006010403A (ja) 2004-06-23 2006-01-12 Seiko Epson Corp 時計用外装部品の補修方法
WO2007001301A2 (en) 2004-06-28 2007-01-04 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060021633A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7875119B2 (en) 2004-10-01 2011-01-25 United Technologies Corporation Apparatus and method for coating an article
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP3757418B1 (ja) 2005-01-19 2006-03-22 石川島播磨重工業株式会社 拡散アルミナイドコーティングの局部施工方法
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
KR100674967B1 (ko) 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
CA2605970A1 (en) 2005-04-29 2006-11-09 National Research Council Of Canada Method of on-line thickness measurement of applied coatings
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054487A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7807231B2 (en) 2005-11-30 2010-10-05 General Electric Company Process for forming thermal barrier coating resistant to infiltration
KR101192248B1 (ko) 2005-12-21 2012-10-17 에스케이케미칼주식회사 금속 표면에 형성된 산화막 및 탄화막 제거제
FI121341B (fi) 2006-02-02 2010-10-15 Beneq Oy Hopean suojapinnoitus
US7833358B2 (en) 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080135914A1 (en) 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080032510A1 (en) 2006-08-04 2008-02-07 Christopher Olsen Cmos sion gate dielectric performance with double plasma nitridation containing noble gas
US7700167B2 (en) 2006-08-31 2010-04-20 Honeywell International Inc. Erosion-protective coatings on polymer-matrix composites and components incorporating such coated composites
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US20090239061A1 (en) 2006-11-08 2009-09-24 General Electric Corporation Ceramic corrosion resistant coating for oxidation resistance
WO2008057123A1 (en) 2006-11-09 2008-05-15 Massachusetts Institute Of Technology Preparation and properties of cr-c-p hard coatings annealed at high temperature for high temperature applications
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US20080268154A1 (en) 2007-04-30 2008-10-30 Shreyas Kher Methods for depositing a high-k dielectric material using chemical vapor deposition process
US8056652B2 (en) 2007-06-25 2011-11-15 Smith International, Inc. Barrier coated granules for improved hardfacing material using atomic layer deposition
US7910446B2 (en) 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US20090134035A1 (en) 2007-08-02 2009-05-28 United Technologies Corporation Method for forming platinum aluminide diffusion coatings
KR101281682B1 (ko) 2007-08-29 2013-07-03 삼성전자주식회사 알루미늄 산화물층 형성방법 및 이를 이용한 전하 트랩형메모리 소자의 제조 방법
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090098289A1 (en) 2007-10-12 2009-04-16 Deininger Mark A Pig and Method for Applying Prophylactic Surface Treatments
US20110175038A1 (en) 2008-01-28 2011-07-21 College Of William & Mary Coated carbon nanoflakes
US8227078B2 (en) 2008-02-11 2012-07-24 General Electric Company Anti-fouling coatings for combustion system components exposed to slag, ash and/or char
GB0805224D0 (en) 2008-03-20 2008-04-30 Minebea Co Ltd An aerospace bearing component
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7816200B2 (en) 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US7573586B1 (en) 2008-06-02 2009-08-11 United Technologies Corporation Method and system for measuring a coating thickness
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100075499A1 (en) 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
US8031346B2 (en) 2008-10-31 2011-10-04 Siemens Energy, Inc. Coating evaluation process
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US8470460B2 (en) 2008-11-25 2013-06-25 Rolls-Royce Corporation Multilayer thermal barrier coatings
US8658255B2 (en) 2008-12-19 2014-02-25 General Electric Company Methods for making environmental barrier coatings and ceramic components having CMAS mitigation capability
WO2010077847A2 (en) 2008-12-31 2010-07-08 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
GB0902633D0 (en) 2009-02-18 2009-04-01 Rolls Royce Plc A method and an arrangement for vapour phase coating of an internal surface of at least one hollow article
US8721812B2 (en) 2009-04-07 2014-05-13 Rolls-Royce Corporation Techniques for controlling precipitate phase domain size in an alloy
US8198671B2 (en) 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
EP2427590B1 (en) 2009-05-08 2018-07-11 MT Coatings, LLC Apparatus and methods for forming modified metal coatings
JP5581805B2 (ja) 2010-05-24 2014-09-03 トヨタ自動車株式会社 ステンレス鋼材へのめっき方法及びそのめっき材
EP2392895B1 (en) 2010-06-01 2013-03-06 Tenaris Connections Ltd. Method for measurement of geometrical parameters of coated threaded joints
US9255327B2 (en) 2010-08-24 2016-02-09 Wayne State University Thermally stable volatile precursors
DE102010040839B4 (de) 2010-09-15 2013-10-17 Osram Opto Semiconductors Gmbh Verfahren zum Herstellen eines elektronsichen Bauelements und elektronisches Bauelement
US8871297B2 (en) 2010-09-30 2014-10-28 Barry Barnett Method of applying a nanocrystalline coating to a gas turbine engine component
US8673397B2 (en) 2010-11-10 2014-03-18 General Electric Company Methods of fabricating and coating a component
KR101679721B1 (ko) 2010-12-13 2016-11-28 삼성전자주식회사 포토마스크 및 그 제조 방법
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
TW201308021A (zh) 2011-06-15 2013-02-16 Applied Materials Inc 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8779407B2 (en) 2012-02-07 2014-07-15 Intermolecular, Inc. Multifunctional electrode
JP6126852B2 (ja) 2012-02-21 2017-05-10 ハウメット コーポレイションHowmet Corporation ガスタービン部品のコーティング及びコーティング方法
FR3000601B1 (fr) 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
GB2511768A (en) 2013-03-12 2014-09-17 Rolls Royce Plc Erosion Resistant Coating
US9252392B2 (en) 2013-03-14 2016-02-02 Applied Materials, Inc. Thin film encapsulation-thin ultra high barrier layer for OLED application
SG11201507165PA (en) 2013-04-10 2015-10-29 Picosun Oy Protecting a target pump interior with an ald coating
WO2015047783A1 (en) 2013-09-24 2015-04-02 United Technologies Corporation Method of simultaneously applying three different diffusion aluminide coatings to a single part
EP3060695B1 (en) 2013-10-21 2019-12-11 United Technologies Corporation Ceramic attachment configuration and method for manufacturing same
CN104647828B (zh) 2013-11-21 2017-06-16 北京有色金属研究总院 一种Cr2O3和Al2O3复合梯度阻氢涂层及其制备方法和应用
CN106995911B (zh) 2013-12-10 2020-07-31 应用材料公司 蒸发源、沉积设备以及用于蒸发有机材料的方法
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
EP3094764A4 (en) 2014-01-15 2017-08-30 Savroc Ltd Method for producing chromium-containing multilayer coating and a coated object
BR112016016106B1 (pt) 2014-01-15 2023-04-04 Savroc Ltd Método para produção de um revestimento de cromo sobre um objeto por trivalente cromagem
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR20170002607A (ko) 2014-05-09 2017-01-06 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 시스템 및 이를 사용하기 위한 방법
WO2015191543A1 (en) 2014-06-10 2015-12-17 Applied Materials Israel, Ltd. Scanning an object using multiple mechanical stages
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US10487412B2 (en) 2014-07-11 2019-11-26 Savroc Ltd Chromium-containing coating, a method for its production and a coated object
US10072335B2 (en) 2014-08-29 2018-09-11 University Of Maryland, College Park Method of coating of object
US9620150B2 (en) 2014-11-11 2017-04-11 Seagate Technology Llc Devices including an amorphous gas barrier layer
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
US9490145B2 (en) * 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9683281B2 (en) * 2015-04-10 2017-06-20 United Technologies Corporation Laser assisted oxide removal
WO2016179286A1 (en) 2015-05-05 2016-11-10 Massachusetts Institute Of Technology Substrate pre-scanning for high throughput microscopy
US20180351164A1 (en) 2015-05-15 2018-12-06 Applied Materials, Inc. Masking device for use in a lithium deposition process in the manufacturing of thin film batteries, apparatus configured for a lithium deposition process, method for manufacturing electrodes of thin film batteries, and thin film battery
DE102015209824A1 (de) 2015-05-28 2016-12-01 BSH Hausgeräte GmbH Vorrichtung und Verfahren zur Bestimmung der Schmutzfracht in einer Spül- oder Waschflotte
KR20170063149A (ko) 2015-11-30 2017-06-08 재단법인 포항산업과학연구원 소재 표면 세정 장치 및 세정 방법
FR3044684B1 (fr) 2015-12-03 2017-12-08 Ge Energy Products France Snc Inhibiteurs de la corrosion vanadique a base d'yttrium et de magnesium
EP3205765A1 (en) 2016-02-11 2017-08-16 The Procter and Gamble Company Method of washing
KR101761736B1 (ko) 2016-02-29 2017-07-26 주식회사 성진케미칼 저농도 킬레이트제를 이용하는 친환경성 산화막 제거제 및 그 사용방법
KR102511828B1 (ko) 2016-06-29 2023-03-21 삼성전자주식회사 자기 메모리 소자의 제조 방법
US10081036B2 (en) 2016-09-19 2018-09-25 Applied Materials, Inc. Methods and systems for liquid particle prequalification
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108966657B (zh) 2017-03-17 2020-10-23 应用材料公司 载体、真空系统和操作真空系统的方法
US10422984B2 (en) 2017-05-12 2019-09-24 Applied Materials, Inc. Flexible mode scanning optical microscopy and inspection system
US10745282B2 (en) 2017-06-08 2020-08-18 Applied Materials, Inc. Diamond-like carbon film
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI782077B (zh) 2017-09-11 2022-11-01 美商應用材料股份有限公司 光罩清潔製程
TWI796358B (zh) 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
JP2021515654A (ja) 2018-03-09 2021-06-24 メリット・メディカル・システムズ・インコーポレイテッドMerit Medical Systems,Inc. 超音波適合性の膨張可能な血管圧迫及び関連するシステム及び方法
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11473197B2 (en) 2018-03-16 2022-10-18 Raytheon Technologies Corporation HPC and HPT disks coated by atomic layer deposition
CN111868299A (zh) 2018-03-19 2020-10-30 应用材料公司 用于在部件的内表面上施加涂层的反应器
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
TWI821300B (zh) 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US20200043722A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
EP4041933A4 (en) 2019-10-08 2023-11-01 Applied Materials, Inc. METHOD FOR DEPOSING PROTECTIVE ANTI-COKING COATINGS ON AEROSPACE COMPONENTS

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1433486A (zh) * 2000-06-08 2003-07-30 表面工程设计产品公司 高温不锈钢涂覆系统
US20040171280A1 (en) * 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of nanolaminate film
US20120024403A1 (en) * 2010-07-30 2012-02-02 Hamilton Sundstrand Corporation Inorganic coke resistant coatings to prevent aircraft fuel system fouling
JP2013167012A (ja) * 2012-02-15 2013-08-29 Hauzer Techno Coating Bv スチール被覆物品およびその製造方法
CN106029945A (zh) * 2014-02-13 2016-10-12 通用电气公司 反焦化涂层、其工艺和设有反焦化涂层的烃流体通道
US20170292445A1 (en) * 2014-09-22 2017-10-12 3M Innovative Properties Company Internal combustion engine components with anti-fouling properties and methods of making same
CN104498899A (zh) * 2014-12-13 2015-04-08 西安近代化学研究所 一种利用原子层沉积钝化层抑制金属表面积碳的方法
CN107313027A (zh) * 2016-04-27 2017-11-03 应用材料公司 用于半导体工艺腔室部件的保护涂层的原子层沉积

Also Published As

Publication number Publication date
JP2022532343A (ja) 2022-07-14
KR20210156305A (ko) 2021-12-24
EP3969634A1 (en) 2022-03-23
WO2020231520A1 (en) 2020-11-19
US11794382B2 (en) 2023-10-24
EP3969634A4 (en) 2023-01-25
US20200361124A1 (en) 2020-11-19
SG11202112205WA (en) 2021-12-30

Similar Documents

Publication Publication Date Title
US11384648B2 (en) Methods for depositing coatings on aerospace components
US11794382B2 (en) Methods for depositing anti-coking protective coatings on aerospace components
US11732353B2 (en) Methods of protecting aerospace components against corrosion and oxidation
JP2022551859A (ja) 航空宇宙用部品上に耐コーキング性保護コーティングを堆積させるための方法
US20230002897A1 (en) Methods for forming protective coatings containing crystallized aluminum oxide
US11739429B2 (en) Methods for refurbishing aerospace components
US20210156267A1 (en) Methods for depositing protective coatings on turbine blades and other aerospace components
US20220050051A1 (en) Methods for detecting end-points for cleaning processes of aerospace components
CN111902566B (zh) 使用含铬薄膜保护金属部件以抗腐蚀的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination