KR20210156305A - 항공우주용 부품들 상에 코킹 방지 보호 코팅들을 증착시키는 방법들 - Google Patents

항공우주용 부품들 상에 코킹 방지 보호 코팅들을 증착시키는 방법들 Download PDF

Info

Publication number
KR20210156305A
KR20210156305A KR1020217041014A KR20217041014A KR20210156305A KR 20210156305 A KR20210156305 A KR 20210156305A KR 1020217041014 A KR1020217041014 A KR 1020217041014A KR 20217041014 A KR20217041014 A KR 20217041014A KR 20210156305 A KR20210156305 A KR 20210156305A
Authority
KR
South Korea
Prior art keywords
aerospace component
atomic
protective coating
aerospace
combination
Prior art date
Application number
KR1020217041014A
Other languages
English (en)
Inventor
데이비드 에이. 브리츠
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210156305A publication Critical patent/KR20210156305A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0025Applying surface layers, e.g. coatings, decorative layers, printed layers, to articles during shaping, e.g. in-mould printing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/12Blades
    • F01D5/28Selecting particular materials; Particular measures relating thereto; Measures against erosion or corrosion
    • F01D5/288Protective coatings for blades
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0067Using separating agents during or after moulding; Applying separating agents on preforms or articles, e.g. to prevent sticking to each other
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D9/00Stators
    • F01D9/02Nozzles; Nozzle boxes; Stator blades; Guide conduits, e.g. individual nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B64AIRCRAFT; AVIATION; COSMONAUTICS
    • B64FGROUND OR AIRCRAFT-CARRIER-DECK INSTALLATIONS SPECIALLY ADAPTED FOR USE IN CONNECTION WITH AIRCRAFT; DESIGNING, MANUFACTURING, ASSEMBLING, CLEANING, MAINTAINING OR REPAIRING AIRCRAFT, NOT OTHERWISE PROVIDED FOR; HANDLING, TRANSPORTING, TESTING OR INSPECTING AIRCRAFT COMPONENTS, NOT OTHERWISE PROVIDED FOR
    • B64F5/00Designing, manufacturing, assembling, cleaning, maintaining or repairing aircraft, not otherwise provided for; Handling, transporting, testing or inspecting aircraft components, not otherwise provided for
    • B64F5/10Manufacturing or assembling aircraft, e.g. jigs therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B64AIRCRAFT; AVIATION; COSMONAUTICS
    • B64FGROUND OR AIRCRAFT-CARRIER-DECK INSTALLATIONS SPECIALLY ADAPTED FOR USE IN CONNECTION WITH AIRCRAFT; DESIGNING, MANUFACTURING, ASSEMBLING, CLEANING, MAINTAINING OR REPAIRING AIRCRAFT, NOT OTHERWISE PROVIDED FOR; HANDLING, TRANSPORTING, TESTING OR INSPECTING AIRCRAFT COMPONENTS, NOT OTHERWISE PROVIDED FOR
    • B64F5/00Designing, manufacturing, assembling, cleaning, maintaining or repairing aircraft, not otherwise provided for; Handling, transporting, testing or inspecting aircraft components, not otherwise provided for
    • B64F5/60Testing or inspecting aircraft components or systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B64AIRCRAFT; AVIATION; COSMONAUTICS
    • B64GCOSMONAUTICS; VEHICLES OR EQUIPMENT THEREFOR
    • B64G1/00Cosmonautic vehicles
    • B64G1/22Parts of, or equipment specially adapted for fitting in or to, cosmonautic vehicles
    • B64G1/40Arrangements or adaptations of propulsion systems
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/002Cleaning of turbomachines
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/007Preventing corrosion
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/005Repairing methods or devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/30Manufacture with deposition of material
    • F05D2230/31Layer deposition
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/30Manufacture with deposition of material
    • F05D2230/31Layer deposition
    • F05D2230/314Layer deposition by chemical vapour deposition
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/90Coating; Surface treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Combustion & Propulsion (AREA)
  • Remote Sensing (AREA)
  • Aviation & Aerospace Engineering (AREA)

Abstract

본 개시의 구현예들은 일반적으로, 항공우주용 부품 상의 보호 코팅들, 및 보호 코팅들을 증착시키는 방법들에 관한 것이다. 보호 코팅은 항공우주용 부품이 연료의 존재 하에서 가열될 때 코크스 형성을 감소시키거나 억제하기 위한 코킹 방지 코팅들일 수 있다. 하나 이상의 구현예들에서, 항공우주용 부품 상에 보호 코팅을 증착시키는 방법은 항공우주용 부품을 세정 공정에 노출시켜 항공우주용 부품 상에 세정된 표면을 생성시키고, 원자층 증착(ALD) 공정에 의해 항공우주용 부품을 전구체 및 반응물에 순차적으로 노출시켜 항공우주용 부품의 세정된 표면 상에 보호 코팅을 형성하는 것을 포함한다. 항공우주용 부품은 연료 노즐, 연소기 라이너, 연소기 쉴드, 열교환기, 연료 라인, 연료 밸브, 또는 이들의 임의의 조합 중 하나 이상일 수 있다.

Description

항공우주용 부품들 상에 코킹 방지 보호 코팅들을 증착시키는 방법들
분야
[0001] 본 개시의 구현예들은 일반적으로, 증착 공정들, 및 특히, 항공우주용 부품들 상에 막들을 증착시키는 기상 증착 공정들에 관한 것이다.
관련 분야의 설명
[0002] 코킹(coking)은 높은 온도들(예를 들어, 약 600℃ 내지 약 1,000℃)로 인해 연료 노즐들, 노즐 및 연소기에서의 연료-풍부 환경, 및 탄소의 증착의 핵을 형성시킬 수 있는 금속성 표면에서의 알려진 문제이다. 연료 노즐들의 기하학적 구조가 복잡하고 연료 노즐들이 고종횡비 통로들을 갖기 때문에, 그러한 통로들을 코킹에 저항하는 코팅으로 코팅하는 것이 어렵다.
[0003] 현재 터빈 산업에서 코킹을 감소시키는 접근법들은 부품의 온도 제어, 연료 흐름들의 제어, 또는 삽입물(insert)들의 추가와 같은 기계적 기술들에 크게 기반으로 하고 있다. 연료 노즐의 배출구에는, 세라믹들 PVD/열 분사된 열차폐-타입 코팅들과 같은, 세라믹 삽입물들이 사용된다. 그러나, 세라믹들은 고가이고, 크래킹(cracking)되기 쉽다. 세라믹들 및 열차폐 코팅들은 고종횡비, 복잡한 구조들에 적합하지 않다.
[0004] 이에 따라, 개선된 보호 코팅들 및 항공우주용 부품들 상에 보호 코팅들을 증착시키는 방법들이 요구되고 있다.
개요
[0005] 본 개시의 구현예들은 일반적으로 항공우주용 부품들 상의 보호 코팅들 및 보호 코팅들을 증착시키는 방법들에 관한 것이다. 보호 코팅들은 또한, 항공우주용 부품이 환원 환경에서 연료의 존재 하에서 가열될 때 코크스 형성을 감소시키거나 억제하기 위한 코킹 방지 코팅(anti-coking coating)들이다. 하나 이상의 구현예들에서, 항공우주용 부품 상에 보호 코팅을 증착시키는 방법은 항공우주용 부품을 세정 공정에 노출시켜 항공우주용 부품 상에 세정된 표면을 생성시키는 단계, 및 원자층 증착(ALD) 공정에 의해 항공우주용 부품을 전구체 및 반응물에 순차적으로 노출시켜 항공우주용 부품의 세정된 표면 상에 보호 코팅을 형성하는 단계를 포함한다. 항공우주용 부품은 연료 노즐, 연소기 라이너, 연소기 쉴드, 열교환기, 연료 라인, 연료 밸브, 또는 이들의 임의의 조합 중 하나 이상일 수 있다.
[0006] 다른 구현예들에서, 항공우주용 부품 상에 코팅을 증착시키는 방법은 항공우주용 부품을 세정 공정에 노출시켜 항공우주용 부품 상에 세정된 표면을 생성시키는 단계를 포함하며, 여기서, 항공우주용 부품은 연료 노즐, 연소기 라이너, 연소기 쉴드, 열교환기, 연료 라인, 연료 밸브, 또는 이들의 임의의 조합이며, 항공우주용 부품의 세정된 표면은 항공우주용 부품의 내부 표면이며, 항공우주용 부품의 세정된 표면은 약 5 내지 약 1,000의 종횡비를 갖는다. 본 방법은 ALD 공정에 의해 항공우주용 부품을 전구체 및 반응물에 순차적으로 노출시켜 항공우주용 부품의 세정된 표면 상에 보호 코팅을 형성하는 단계를 추가로 포함한다.
[0007] 일부 구현예들에서, 항공우주용 부품 상에 코팅을 증착시키는 방법은 항공우주용 부품을 세정 공정에 노출시켜 항공우주용 부품 상에 세정된 표면을 생성시키는 단계를 포함하며, 여기서, 항공우주용 부품의 세정된 표면은 항공우주용 부품의 내부 표면이며, 항공우주용 부품의 세정된 표면은 약 5 내지 약 1,000의 종횡비를 갖는다. 본 방법은 또한, ALD 공정에 의해 항공우주용 부품을 전구체 및 반응물에 순차적으로 노출시켜 항공우주용 부품의 세정된 표면 상에 보호 코팅을 형성하는 단계를 포함하며, 여기서, 보호 코팅은 약 10 nm 내지 약 5,000 nm의 두께를 가지며, 보호 코팅은 50% 미만의 두께 변화를 갖는다.
상세한 설명
[0008] 본 개시의 구현예들은 일반적으로, 항공우주용 부품들 상의 보호 코팅들, 및 보호 코팅들을 증착시키는 방법들에 관한 것이다. 보호 코팅들은 또한, 항공우주용 부품이 연료의 존재 하에서 가열될 때 코크스 형성을 감소시키거나 억제하기 위한 코킹 방지 코팅들이다. 보호 코팅들은 단일층 막들, 다중층 막들, 나노라미네이트 막 스택들, 병합된 막들(coalesced films), 결정질 막들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0009] 하나 이상의 구현예들에서, 항공우주용 부품 상에 보호 코팅을 증착시키는 방법은 항공우주용 부품을 하나 이상의 세정 공정들에 노출시켜 항공우주용 부품 상에 세정된 표면을 생성시키는 단계, 및 원자층 증착(ALD) 공정에 의해 항공우주용 부품을 하나 이상의 전구체들 및/또는 하나 이상의 반응물들에 순차적으로 노출시켜 항공우주용 부품의 세정된 표면 상에 보호 코팅을 형성하는 단계를 포함한다.
[0010] 일부 구현예들에서, 본원에 기술되고 논의되는 항공우주용 부품은 연료 노즐, 연소기 라이너, 연소기 쉴드, 열교환기, 연료 라인, 연료 밸브, 연료(예를 들어, 비행 연료 또는 제트 연료)에 노출되는 임의의 다른 파트(part) 또는 부분 또는 이들의 임의의 조합 중 하나 이상일 수 있거나, 이를 포함할 수 있다. 다른 구현예들에서, 본원에 기술되고 논의되는 항공우주용 부품들은 상부에 보호 코팅이 증착됨으로써 혜택을 받을 수 있는 하나 이상의 터빈 블레이드들, 터빈 날개들, 리브들, 휜들(fins), 핀 휜들(pin fins), 또는 임의의 다른 항공우주용 부품 또는 파트일 수 있거나, 이를 포함할 수 있다. 보호 코팅들은 항공우주용 부품들의 내부 표면들 및/또는 외부 표면들 상에 증착되거나 달리 형성될 수 있다.
세정 공정
[0011] 보호 코팅을 생성하기 전에, 항공우주용 부품은 하나 이상의 세정 공정들에 선택적으로 노출될 수 있다. 하나 이상의 오염물질들은 세정 공정 동안 세정된 표면을 생성하기 위해 항공우주용 부품으로부터 제거된다. 오염물질은 산화물들, 유기물들 또는 유기물 잔부들, 탄소, 오일, 오물, 미립자들, 파편, 및/또는 다른 오염물질들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. 이러한 오염물질들은 항공우주용 부품 상에 보호 코팅을 생성하기 전에 제거된다.
[0012] 세정 공정은 하나 이상의 바스팅(basting) 또는 텍스쳐링(texturing) 공정들, 진공 퍼지들, 용매 세정, 산 세정, 염기성 또는 부식성 세정, 습식 세정, 오존 세정, 플라즈마 세정, 초음파처리, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. 일단 세정되고/거나 텍스쳐링되면, 후속하여 증착된 보호 코팅은 달리 세정 공정에 노출되지 않은 것보다, 더 강한 항공우주용 부품의 세정된 표면들 또는 달리 변경된 표면들에 대한 접착력을 갖는다.
[0013] 하나 이상의 예들에서, 항공우주용 부품의 표면들은 표면들로부터 산화물들 및 다른 오염물질들을 제거하고/하거나 항공우주용 부품의 표면들에 텍스쳐링을 제공하기 위해 비드들, 샌드(sand), 탄산염(carbonate), 또는 다른 미립자들로 블라스팅되거나 비드들, 샌드, 탄산염, 또는 다른 미립자들에 달리 노출될 수 있다. 일부 예들에서, 항공우주용 부품은 항공우주용 부품 상의 작은 홀들에서 파편을 제거하기 위해 펄스식 푸시-풀 시스템(pulsed push-pull system) 내의 챔버에 배치되고 퍼지 가스 또는 액체(예를 들어, N2, Ar, He, 하나 이상의 알코올들(메탄올, 에탄올, 프로판올, 및/또는 다른 것들), H2O, 또는 이들의 임의의 조합) 및 진공 퍼지들의 사이클들에 노출될 수 있다. 다른 예들에서, 항공우주용 부품의 표면들은 플라즈마 챔버에서 또는 원격 플라즈마 시스템에 의해 발생될 수 있는, 수소 플라즈마, 산소 또는 오존 플라즈마, 및/또는 질소 플라즈마에 노출될 수 있다.
[0014] 일부 예들에서, 예를 들어, 유기물 제거 또는 산화물 제거를 위해, 항공우주용 부품의 표면들은 수소 플라즈마에 노출되고, 이후에, 탈기되고, 이후에, 오존 처리에 노출될 수 있다. 다른 예들에서, 예를 들어, 유기물 제거를 위해, 항공우주용 부품의 표면들은 알칼리성 그리스제거 용액 중에서 침지, 린싱, 표면들을 산 세정(예를 들어, 황산, 인산, 염산, 불화수소산, 또는 이들의 임의의 조합)에 노출, 린싱, 및 표면들을 탈이온수 초음파처리욕에 노출을 포함하는 습식 세정에 노출될 수 있다. 일부 예들에서, 예를 들어, 산화물 제거를 위해, 항공우주용 부품의 표면들은 표면들을 묽은 산 용액(예를 들어, 아세트산, 염산, 불화수소산, 또는 이들의 조합들)에 노출, 린싱, 및 표면들을 탈이온수 초음파처리욕에 노출을 포함하는 습식 세정에 노출될 수 있다. 하나 이상의 예들에서, 예를 들어, 입자 제거를 위해, 항공우주용 부품의 표면들은 초음파처리(예를 들어, 메가초음파처리) 및/또는 초임계 유체(이산화탄소, 물, 하나 이상의 알코올들) 세척에 노출되고, 이후에, 표면들에서 입자들을 제거하고 표면들을 건조시키기 위해 퍼지 가스 또는 액체(예를 들어, N2, Ar, He, 하나 이상의 알코올들, H2O, 또는 이들의 임의의 조합) 및 진공 퍼지들의 사이클들에 노출될 수 있다. 일부 예들에서, 항공우주용 부품은 항공우주용 부품을 약 50℃, 약 65℃, 또는 약 80℃ 내지 약 100℃, 약 120℃, 또는 약 150℃의 온도로 가열시키고 표면들을 퍼지 가스에 노출시키는 것과 같은 가열 또는 건조 공정들에 노출될 수 있다. 항공우주용 부품은 오븐에서 가열되거나, 가열 또는 건조 공정들을 위한 램프들에 노출될 수 있다. 선택적으로, 고온 가스는 건조를 가속화하기 위해 내부 통로들을 통해 가압될 수 있다. 선택적으로, 부품은 가열 없이 또는 가열하면서 환원 대기 중에서 건조될 수 있다.
[0015] 다양한 구현예들에서, 항공우주용 부품의 세정된 표면은 항공우주용 부품의 하나 이상의 내부 표면들 및/또는 하나 이상의 외부 표면들일 수 있다. 항공우주용 부품의 세정된 표면은 하나 이상의 재료, 예를 들어, 니켈, 니켈 초합금, 스테인리스강, 코발트, 크롬, 몰리브덴, 철, 티탄, 이들의 합금들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. 하나 이상의 예들에서, 항공우주용 부품의 세정된 표면은 약 5 내지 약 1,000, 예를 들어, 약 20 내지 약 500의 종횡비를 갖는다.
[0016] 일부 예들에서, 보호 코팅은 약 10 nm 내지 약 5,000 nm, 약 100 nm 내지 약 4,000 nm, 또는 약 500 nm 내지 약 2,000 nm의 두께를 갖는다. 또한, 보호 코팅은 200% 미만, 100% 미만, 25% 미만, 5% 미만, 또는 0.5% 미만의 두께 변화를 가질 수 있다.
기상 증착 공정
[0017] 하나 이상의 구현예들에서, 보호 코팅은 항공우주용 부품이 연료, 예를 들어, 비행 연료, 제트 연료, 등유, 등의 존재 하에서 가열될 때 코크스 형성을 감소시키거나 억제한다. 일부 예들에서, 보호 코팅은 하나 이상의 재료, 예를 들어, 산화알루미늄, 질화알루미늄, 산질화알루미늄, 산화규소, 질화규소, 산질화규소, 산화크롬, 산화탄탈, 질화탄탈, 산질화탄탈, 이들의 합금들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0018] 하나 이상의 구현예들에서, 항공우주용 부품 상에 보호 코팅을 증착시키는 방법은 ALD 공정에 의해 항공우주용 부품을 알루미늄 전구체 및 하나 이상의 반응물들에 순차적으로 노출시켜 항공우주용 부품의 표면 상에 알루미늄-함유 층을 형성하는 것을 포함한다. 일부 예들에서, 반응물은 하나 이상의 산화제들 및/또는 하나 이상의 질화제들일 수 있거나, 이를 함유할 수 있다. 산화제는 물, 오존, 산소(O2), 원자 산소, 아산화질소, 하나 이상의 과산화물들(예를 들어, 과산화수소, 다른 무기 과산화물들, 유기 과산화물들), 하나 이상의 알코올들(예를 들어, 메탄올, 에탄올, 프로판올, 또는 고차 알코올들), 이들의 플라즈마들, 또는 이들의 임의의 조합일 수 있거나, 이를 함유할 수 있다. 질화제는 암모니아, 산화질소, 원자 질소, 하이드라진, 이들의 플라즈마들, 또는 이들의 임의의 조합일 수 있거나, 이를 함유할 수 있다. 알루미늄-함유 층은 산화알루미늄, 질화알루미늄, 산질화알루미늄, 또는 이들의 임의의 조합을 함유한다.
[0019] 다른 구현예들에서, 항공우주용 부품 상에 보호 코팅을 증착시키는 방법은 ALD 공정에 의해 항공우주용 부품을 크롬 전구체 및 반응물에 순차적으로 노출시켜 항공우주용 부품의 표면 상에 크롬-함유 층을 형성하는 것을 포함한다. 크롬-함유 층은 금속성 크롬, 산화크롬, 질화크롬, 탄화크롬, 규화크롬, 또는 이들의 임의의 조합을 함유한다.
[0020] 일부 구현예들에서, 나노라미네이트 막 스택은 항공우주용 부품의 표면 상에 형성되며, 여기서, 나노라미네이트 막 스택은 크롬-함유 층 및 제2 증착된 층의 교대 층들을 함유한다. 항공우주용 부품은 ALD에 의해 금속 또는 규소 전구체 및 제2 반응물에 순차적으로 노출되어 표면 상에 제2 증착된 층을 형성할 수 있다. 제2 증착된 층은 산화알루미늄, 질화알루미늄, 산화규소, 질화규소, 탄화규소, 산화이트륨, 질화이트륨, 규소질화이트륨, 산화하프늄, 질화하프늄, 규화하프늄, 규산하프늄, 산화티탄, 질화티탄, 규화티탄, 규산티탄, 또는 이들의 임의의 조합을 함유한다. 크롬-함유 층 및 제2 증착된 층의 교대 층들을 함유하는 나노라미네이트 막 스택은 항공우주용 부품 상에서 보호 코팅으로서 사용될 수 있다. 대안적으로, 다른 구현예들에서, 항공우주용 부품 상에 배치된 나노라미네이트 막 스택은 나노라미네이트 막 스택을 병합된 막으로 변환시키기 위해 어닐링 공정에 노출될 수 있으며, 이러한 병합된 막은 항공우주용 부품 상에서 보호 코팅으로서 사용될 수 있다.
[0021] 하나 이상의 구현예들에서, 보호 코팅은 항공우주용 부품 상에 순차적으로 증착되거나 달리 형성된 한 쌍 또는 복수 개의 쌍들의 제1 증착된 층 및 제2 증착된 층을 함유한 나노라미네이트 막 스택을 함유한다. 나노라미네이트 막 스택은 4개 쌍들의 제1 증착된 층 및 제2 증착된 층으로 예시되어 있지만, 나노라미네이트 막 스택은 하기에서 추가로 논의되는 바와 같이 임의의 수의 제1 증착된 층 및 제2 증착된 층을 함유할 수 있다. 예를 들어, 나노라미네이트 막 스택은 한 쌍의 제1 증착된 층 및 제2 증착된 층 내지 약 150개 쌍들의 제1 증착된 층 및 제2 증착된 층을 함유할 수 있다. 다른 구현예들에서, 도시되어 있지 않지만, 보호 코팅은 나노라미네이트 막 스택이 아니고, 대신에 항공우주용 부품 상에 증착되거나 달리 형성된 제1 증착된 층 또는 제2 증착된 층을 함유한다. 추가 구현예들에서, 한 쌍 이상의 제1 증착된 층 및 제2 증착된 층을 함유한 나노라미네이트 막 스택이 초기에 증착되고, 이후에, 병합된 막 또는 결정질 막으로 변환된다.
[0022] 다른 구현예들에서, 보호 코팅은 나노라미네이트 막 스택을 함유한다. 나노라미네이트 막 스택은 제1 증착된 층 및 제2 증착된 층을 함유하며, 본 방법은 나노라미네이트 막 스택의 두께를 증가시키면서 2개 쌍들 내지 약 500개 쌍들의 제1 증착된 층 및 제2 증착된 층을 증착시키는 것을 추가로 포함한다. 하나 이상의 예들에서, 각 쌍의 제1 증착된 층 및 제2 증착된 층은 약 0.2 nm 내지 약 500 nm의 두께를 가질 수 있다. 일부 예들에서, 본 방법은 항공우주용 부품을 어닐링하고 나노라미네이트 막 스택을 병합된 막 또는 결정질 막으로 변환시키는 것을 추가로 포함한다.
[0023] 항공우주용 부품은 기상 증착 공정에 의해 제1 전구체 및 제1 반응물에 노출되어 항공우주용 부품 상에 제1 증착된 층을 형성할 수 있다. 기상 증착 공정은 ALD 공정, 플라즈마-강화 ALD(PE-ALD) 공정, 열 화학 기상 증착(CVD) 공정, 플라즈마-강화 CVD(PE-CVD) 공정, 펄스식-CVD 공정, 또는 이들의 임의의 조합일 수 있다.
[0024] 하나 이상의 구현예들에서, 기상 증착 공정은 ALD 공정이며, 본 방법은 항공우주용 부품의 표면을 제1 전구체 및 제1 반응물에 순차적으로 노출시켜 제1 증착된 층을 형성하는 것을 포함한다. ALD 공정의 각 사이클은 항공우주용 부품의 표면을 제1 전구체에 노출시키고, 펌프-퍼지를 수행하고, 항공우주용 부품을 제1 반응물에 노출시키고, 펌프-퍼지를 수행하여 제1 증착된 층을 형성하는 것을 포함한다. 제1 전구체 및 제1 반응물의 순서는, ALD 사이클이 항공우주용 부품의 표면을 제1 반응물에 노출시키고, 펌프-퍼지를 수행하고, 항공우주용 부품을 제1 전구체에 노출시키고, 펌프-퍼지를 수행하여 제1 증착된 층을 형성하는 것을 포함하도록, 역전될 수 있다.
[0025] 일부 예들에서, 각 ALD 사이클 동안, 항공우주용 부품은 약 0.1초 내지 약 10초 동안 제1 전구체, 약 0.1초 내지 약 10초 동안 제1 반응물, 및 약 0.5초 내지 약 30초 동안 펌프-퍼지에 노출된다. 다른 예들에서, 각 ALD 사이클 동안, 항공우주용 부품은 약 0.5초 내지 약 3초 동안 제1 전구체, 약 0.5초 내지 약 3초 동안 제1 반응물, 및 약 1초 내지 약 10초 동안 펌프-퍼지에 노출된다.
[0026] 각 ALD 사이클은 제1 증착된 층을 형성하기 위해 2, 3, 4, 5, 6, 8, 약 10, 약 12, 또는 약 15회 내지 약 18, 약 20, 약 25, 약 30, 약 40, 약 50, 약 65, 약 80, 약 100, 약 120, 약 150, 약 200, 약 250, 약 300, 약 350, 약 400, 약 500, 약 800, 약 1,000회 이상 반복된다. 예를 들어, 각 ALD 사이클은 제1 증착된 층을 형성하기 위해 2회 내지 약 1,000회, 2회 내지 약 800회, 2회 내지 약 500회, 2회 내지 약 300회, 2회 내지 약 250회, 2회 내지 약 200회, 2회 내지 약 150회, 2회 내지 약 120회, 2회 내지 약 100회, 2회 내지 약 80회, 2회 내지 약 50회, 2회 내지 약 30회, 2회 내지 약 20회, 2회 내지 약 15회, 2회 내지 약 10회, 2회 내지 5회, 약 8회 내지 약 1,000회, 약 8회 내지 약 800회, 약 8회 내지 약 500회, 약 8회 내지 약 300회, 약 8회 내지 약 250회, 약 8회 내지 약 200회, 약 8회 내지 약 150회, 약 8회 내지 약 120회, 약 8회 내지 약 100회, 약 8회 내지 약 80회, 약 8회 내지 약 50회, 약 8회 내지 약 30회, 약 8회 내지 약 20회, 약 8회 내지 약 15회, 약 8회 내지 약 10회, 약 20회 내지 약 1,000회, 약 20회 내지 약 800회, 약 20회 내지 약 500회, 약 20회 내지 약 300회, 약 20회 내지 약 250회, 약 20회 내지 약 200회, 약 20회 내지 약 150회, 약 20회 내지 약 120회, 약 20회 내지 약 100회, 약 20회 내지 약 80회, 약 20회 내지 약 50회, 약 20회 내지 약 30회, 약 50회 내지 약 1,000회, 약 50회 내지 약 500회, 약 50회 내지 약 350회, 약 50회 내지 약 300회, 약 50회 내지 약 250회, 약 50회 내지 약 150회, 또는 약 50회 내지 약 100회 반복된다.
[0027] 다른 구현예들에서, 기상 증착 공정은 CVD 공정이며, 본 방법은 항공우주용 부품을 제1 전구체 및 제1 반응물에 동시에 노출시켜 제1 증착된 층을 형성하는 것을 포함한다. ALD 공정 또는 CVD 공정 동안, 제1 전구체 및 제1 반응물 각각은 독립적으로 하나 이상의 운반 가스들을 포함할 수 있다. 하나 이상의 퍼지 가스들은 제1 전구체와 제1 반응물의 노출들 사이에 프로세싱 챔버 전반에 걸쳐 및/또는 항공우주용 부품에 가로질러 흐를 수 있다. 일부 예들에서, 동일한 가스는 운반 가스 및 퍼지 가스로서 사용될 수 있다. 예시적인 운반 가스들 및 퍼지 가스들은 독립적으로 질소(N2), 아르곤, 헬륨, 네온, 수소(H2), 또는 이들의 임의의 조합 중 하나 이상일 수 있거나, 이를 포함할 수 있다.
[0028] 제1 증착된 층은 약 0.1 nm, 약 0.2 nm, 약 0.3 nm, 약 0.4 nm, 약 0.5 nm, 약 0.8 nm, 약 1 nm, 약 2 nm, 약 3 nm, 약 5 nm, 약 8 nm, 약 10 nm, 약 12 nm, 또는 약 15 nm 내지 약 18 nm, 약 20 nm, 약 25 nm, 약 30 nm, 약 40 nm, 약 50 nm, 약 60 nm, 약 80 nm, 약 100 nm, 약 120 nm, 또는 약 150 nm의 두께를 가질 수 있다. 예를 들어, 제1 증착된 층은 약 0.1 nm 내지 약 150 nm, 약 0.2 nm 내지 약 150 nm, 약 0.2 nm 내지 약 120 nm, 약 0.2 nm 내지 약 100 nm, 약 0.2 nm 내지 약 80 nm, 약 0.2 nm 내지 약 50 nm, 약 0.2 nm 내지 약 40 nm, 약 0.2 nm 내지 약 30 nm, 약 0.2 nm 내지 약 20 nm, 약 0.2 nm 내지 약 10 nm, 약 0.2 nm 내지 약 5 nm, 약 0.2 nm 내지 약 1 nm, 약 0.2 nm 내지 약 0.5 nm, 약 0.5 nm 내지 약 150 nm, 약 0.5 nm 내지 약 120 nm, 약 0.5 nm 내지 약 100 nm, 약 0.5 nm 내지 약 80 nm, 약 0.5 nm 내지 약 50 nm, 약 0.5 nm 내지 약 40 nm, 약 0.5 nm 내지 약 30 nm, 약 0.5 nm 내지 약 20 nm, 약 0.5 nm 내지 약 10 nm, 약 0.5 nm 내지 약 5 nm, 약 0.5 nm 내지 약 1 nm, 약 2 nm 내지 약 150 nm, 약 2 nm 내지 약 120 nm, 약 2 nm 내지 약 100 nm, 약 2 nm 내지 약 80 nm, 약 2 nm 내지 약 50 nm, 약 2 nm 내지 약 40 nm, 약 2 nm 내지 약 30 nm, 약 2 nm 내지 약 20 nm, 약 2 nm 내지 약 10 nm, 약 2 nm 내지 약 5 nm, 약 2 nm 내지 약 3 nm, 약 10 nm 내지 약 150 nm, 약 10 nm 내지 약 120 nm, 약 10 nm 내지 약 100 nm, 약 10 nm 내지 약 80 nm, 약 10 nm 내지 약 50 nm, 약 10 nm 내지 약 40 nm, 약 10 nm 내지 약 30 nm, 약 10 nm 내지 약 20 nm, 또는 약 10 nm 내지 약 15 nm의 두께를 가질 수 있다.
[0029] 하나 이상의 구현예들에서, 제1 전구체는 하나 이상의 크롬 전구체들, 하나 이상의 알루미늄 전구체들, 또는 하나 이상의 하프늄 전구체들을 함유한다. 제1 반응물은 하나 이상의 환원제들, 하나 이상의 산화제들, 하나 이상의 질화제들, 하나 이상의 규소 전구체들, 하나 이상의 탄소 전구체들, 또는 이들의 임의의 조합을 함유한다. 일부 예들에서, 제1 증착된 층은 금속성 크롬, 산화크롬, 질화크롬, 규화크롬, 탄화크롬, 또는 이들의 임의의 조합일 수 있거나 이를 포함할 수 있는 크롬-함유 층이다. 다른 예들에서, 제1 증착된 층은 금속성 알루미늄, 산화알루미늄, 질화알루미늄, 규화알루미늄, 탄화알루미늄, 또는 이들의 임의의 조합일 수 있거나 이를 포함할 수 있는 알루미늄-함유 층이다. 추가 예들에서, 제1 증착된 층은 금속성 하프늄, 산화하프늄, 질화하프늄, 규화하프늄, 탄화하프늄, 또는 이들의 임의의 조합일 수 있거나 이를 포함할 수 있는 하프늄-함유 층이다.
[0030] 크롬 전구체는 크롬 사이클로펜타디엔 화합물들, 크롬 카보닐 화합물들, 크롬 아세틸아세토네이트 화합물들, 크롬 디아자디에닐 화합물들, 이들의 치환물들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합 중 하나 이상일 수 있거나, 이를 포함할 수 있다. 예시적인 크롬 전구체는 비스(사이클로펜타디엔) 크롬(Cp2Cr), 비스(펜타메틸사이클로펜타디엔) 크롬((Me5Cp)2Cr), 비스(이소프로필사이클로펜타디엔) 크롬((iPrCp)2Cr), 비스(에틸벤젠) 크롬((EtBz)2Cr), 크롬 헥사카보닐(Cr(CO)6), 크롬 아세틸아세토네이트(Cr(acac)3, 트리스(2,4-펜탄디오노) 크롬으로도 알려짐), 크롬 헥사플루오로아세틸아세토네이트(Cr(hfac)3), 크롬(III) 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트){Cr(tmhd)3}, 크롬(II) 비스(1,4-디3차부틸디아자디에닐), 이들의 이성질체들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. 예시적인 크롬 디아자디에닐 화합물들은 하기 화학식을 가질 수 있다:
Figure pct00001
[0031] 상기 식에서, 각 R 및 R'는 독립적으로 H, C1-C6 알킬, 아릴, 아실, 알킬아미도, 하이드라지도, 실릴, 알데하이드, 케토, C2-C4 알케닐, 알키닐, 또는 이들의 치환체들로부터 선택된다. 일부 예들에서, 각 R은 독립적으로, 메틸, 에틸, 프로필, 부틸, 또는 이들의 이성질체들로부터 선택된 C1-C6 알킬이며, R'는 H이다. 예를 들어, R은 메틸이며 R'는 H이거나, R은 에틸이며 R'는 H이거나, R은 이소-프로필이며 R'는 H이거나, R은 3차-부틸이며 R'는 H이다.
[0032] 알루미늄 전구체는 알루미늄 알킬 화합물들 중 하나 이상, 알루미늄 알콕시 화합물들 중 하나 이상, 알루미늄 아세틸아세토네이트 화합물들 중 하나 이상, 이들의 치환물들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. 예시적인 알루미늄 전구체들은 트리메틸알루미늄, 트리에틸알루미늄, 트리프로필알루미늄, 트리부틸알루미늄, 트리메톡시알루미늄, 트리에톡시알루미늄, 트리프로폭시알루미늄, 트리부톡시알루미늄, 알루미늄 아세틸아세토네이트(Al(acac)3, 트리스(2,4-펜탄디오노) 알루미늄으로도 알려짐), 알루미늄 헥사플루오로아세틸아세토네이트(Al(hfac)3), 트리스디피발로일메타네이토알루미늄(DPM3Al; (C11H19O2)3Al), 이들의 이성질체들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0033] 하나 이상의 예들에서, 전구체는 하나 이상의 알루미늄 알킬 화합물들, 예를 들어, 트리메틸 알루미늄(TMA)이거나, 이를 함유한다. 알루미늄 알킬 화합물(예를 들어, TMA)은 95% 초과, 97% 초과, 또는 99% 초과, 예를 들어, 약 99.3%, 약 99.5 중량%, 약 99.7 중량%, 또는 약 99.9 중량% 내지 약 99.95 중량%, 약 99.99 중량%, 약 99.995 중량%, 약 99.999 중량%, 약 99.9999 중량%, 또는 그 초과의 순도를 갖는다. 하나 이상의 예들에서, 알루미늄 알킬 화합물(예를 들어, TMA)은 99.5 중량% 이상, 예를 들어, 약 99.9 중량% 내지 약 99.999 중량%의 순도를 갖는다.
[0034] 하프늄 전구체는 하프늄 사이클로펜타디엔 화합물들 중 하나 이상, 하프늄 아미노 화합물들 중 하나 이상, 하프늄 알킬 화합물들 중 하나 이상, 하프늄 알콕시 화합물들 중 하나 이상, 이들의 치환물들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. 예시적인 하프늄 전구체들은 비스(메틸사이클로펜타디엔) 디메틸하프늄((MeCp)2HfMe2), 비스(메틸사이클로펜타디엔) 메틸메톡시하프늄((MeCp)2Hf(OMe)(Me)), 비스(사이클로펜타디엔) 디메틸하프늄((Cp)2HfMe2), 테트라(3차-부톡시) 하프늄, 하프늄 이소프로폭사이드((iPrO)4Hf), 테트라키스(디메틸아미노) 하프늄(TDMAH), 테트라키스(디에틸아미노) 하프늄(TDEAH), 테트라키스(에틸메틸아미노) 하프늄(TEMAH), 이들의 이성질체들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0035] 티탄 전구체는 티탄 사이클로펜타디엔 화합물들 중 하나 이상, 티탄 아미노 화합물들 중 하나 이상, 티탄 알킬 화합물들 중 하나 이상, 티탄 알콕시 화합물들 중 하나 이상, 이들의 치환물들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. 예시적인 티탄 전구체들은 비스(메틸사이클로펜타디엔) 디메틸티탄((MeCp)2TiMe2), 비스(메틸사이클로펜타디엔) 메틸메톡시티탄((MeCp)2Ti(OMe)(Me)), 비스(사이클로펜타디엔) 디메틸티탄((Cp)2TiMe2), 테트라(3차-부톡시) 티탄, 티탄 이소프로폭사이드((iPrO)4Ti), 테트라키스(디메틸아미노) 티탄(TDMAT), 테트라키스(디에틸아미노) 티탄(TDEAT), 테트라키스(에틸메틸아미노) 티탄(TEMAT), 이들의 이성질체들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0036] 하나 이상의 예들에서, 제1 증착된 층은 금속성 크롬일 수 있거나 이를 포함할 수 있는 크롬-함유 층이며, 제1 반응물은 하나 이상의 환원제들을 함유한다. 일부 예들에서, 제1 증착된 층은 금속성 알루미늄일 수 있거나 이를 포함할 수 있는 알루미늄-함유 층이며, 제1 반응물은 하나 이상의 환원제들을 함유한다. 다른 예들에서, 제1 증착된 층은 금속성 하프늄일 수 있거나 이를 포함할 수 있는 하프늄-함유 층이며, 제1 반응물은 하나 이상의 환원제들을 함유한다. 예시적인 환원제들은 수소(H2), 암모니아, 하이드라진, 하나 이상의 하이드라진 화합물들, 하나 이상의 알코올들, 사이클로헥사디엔, 디하이드로피라진, 알루미늄 함유 화합물, 이들의 부가물들, 이들의 염들, 이들의 플라즈마 유도체들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0037] 일부 예들에서, 제1 증착된 층은 산화크롬일 수 있거나 이를 포함할 수 있는 크롬-함유 층이며, 제1 반응물은 하나 이상의 산화제들을 함유한다. 다른 예들에서, 제1 증착된 층은 산화알루미늄일 수 있거나 이를 포함할 수 있는 알루미늄-함유 층이며, 제1 반응물은 하나 이상의 산화제들을 함유한다. 추가 예들에서, 제1 증착된 층은 산화하프늄일 수 있거나 이를 포함할 수 있는 하프늄-함유 층이며, 제1 반응물은 하나 이상의 산화제들을 함유한다. 예시적인 산화제들은 물(예를 들어, 스팀), 산소(O2), 원자 산소, 오존, 아산화질소, 하나 이상의 과산화물들, 하나 이상의 알코올들, 이들의 플라즈마들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0038] 하나 이상의 예들에서, 제1 증착된 층은 질화크롬일 수 있거나 이를 포함할 수 있는 크롬-함유 층이며, 제1 반응물은 하나 이상의 질화제들을 함유한다. 다른 예들에서, 제1 증착된 층은 질화알루미늄일 수 있거나 이를 포함할 수 있는 알루미늄-함유 층이며, 제1 반응물은 하나 이상의 질화제들을 함유한다. 일부 예들에서, 제1 증착된 층은 질화하프늄일 수 있거나 이를 포함할 수 있는 하프늄-함유 층이며, 제1 반응물은 하나 이상의 질화제들을 함유한다. 예시적인 질화제들은 암모니아, 원자 질소, 하나 이상의 하이드라진들, 산화질소, 이들의 플라즈마들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0039] 하나 이상의 예들에서, 제1 증착된 층은 규화크롬일 수 있거나 이를 포함할 수 있는 크롬-함유 층이며, 제1 반응물은 하나 이상의 규소 전구체들을 함유한다. 일부 예들에서, 제1 증착된 층은 규화알루미늄일 수 있거나 이를 포함할 수 있는 알루미늄-함유 층이며, 제1 반응물은 하나 이상의 규소 전구체들을 함유한다. 다른 예들에서, 제1 증착된 층은 규화하프늄일 수 있거나 이를 포함할 수 있는 하프늄-함유 층이며, 제1 반응물은 하나 이상의 규소 전구체들을 함유한다. 예시적인 규소 전구체들은 실란, 디실란, 트리실란, 테트라실란, 펜타실란, 헥사실란, 모노클로로실란, 디클로로실란, 트리클로로실란, 테트라클로로실란, 헥사클로로실란, 치환된 실란들, 이들의 플라즈마 유도체들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0040] 일부 예들에서, 제1 증착된 층은 탄화크롬일 수 있거나 이를 포함할 수 있는 크롬-함유 층이며, 제1 반응물은 하나 이상의 탄소 전구체들을 함유한다. 다른 예들에서, 제1 증착된 층은 탄화알루미늄일 수 있거나 이를 포함할 수 있는 알루미늄-함유 층이며, 제1 반응물은 하나 이상의 탄소 전구체들을 함유한다. 추가 예들에서, 제1 증착된 층은 탄화하프늄일 수 있거나 이를 포함할 수 있는 하프늄-함유 층이며, 제1 반응물은 하나 이상의 탄소 전구체들을 함유한다. 예시적인 탄소 전구체들은 하나 이상의 알칸들, 하나 이상의 알켄들, 하나 이상의 알킨들, 이들의 치환물들, 이들의 플라즈마들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0041] 일부 구현예들에서, 항공우주용 부품은 나노라미네이트 막을 생성하는 ALD 공정에 의해 제2 전구체 및 제2 반응물에 노출되어 제1 증착된 층 상에 제2 증착된 층을 형성할 수 있다. 제1 증착된 층 및 제2 증착된 층은 서로 상이한 조성들을 갖는다. 일부 예들에서, 제1 전구체는 제2 전구체와는 다른 전구체이며, 예를 들어, 제1 전구체는 제1 타입의 금속의 소스이며, 제2 전구체는 제2 타입의 금속의 소스이며, 제1 타입 및 제2 타입의 금속은 상이하다.
[0042] 제2 전구체는 하나 이상의 알루미늄 전구체들, 하나 이상의 하프늄 전구체들, 하나 이상의 이트륨 전구체들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. 제2 반응물은 제1 반응물로서 사용되는 임의의 다른 반응물들일 수 있다. 예를 들어, 제2 반응물은 상기에 기술되고 논의된 바와 같은, 하나 이상의 환원제들, 하나 이상의 산화제들, 하나 이상의 질화제들, 하나 이상의 규소 전구체들, 하나 이상의 탄소 전구체들, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다. ALD 공정 동안, 제2 전구체 및 제2 반응물 각각은 독립적으로 하나 이상의 운반 가스들을 포함할 수 있다. 하나 이상의 퍼지 가스들은 제2 전구체 및 제2 반응물의 노출들 사이에서 프로세싱 챔버 전반에 걸쳐 및/또는 항공우주용 부품을 가로질러 흐를 수 있다. 일부 예들에서, 동일한 가스는 운반 가스 및 퍼지 가스로서 사용될 수 있다. 예시적인 운반 가스들 및 퍼지 가스들은 독립적으로 질소(N2), 아르곤, 헬륨, 네온, 수소(H2), 또는 이들의 임의의 조합 중 하나 이상일 수 있거나, 이를 포함할 수 있다.
[0043] 하나 이상의 구현예들에서, 제2 증착된 층은 산화알루미늄, 질화알루미늄, 산화규소, 질화규소, 탄화규소, 산화이트륨, 질화이트륨, 규소질화이트륨, 산화하프늄, 질화하프늄, 규화하프늄, 규산하프늄, 산화티탄, 질화티탄, 규화티탄, 규산티탄, 또는 이들의 임의의 조합을 함유한다. 하나 이상의 예들에서, 제1 증착된 층이 산화알루미늄 또는 질화알루미늄을 함유하는 경우, 제2 증착된 층은 산화알루미늄 또는 질화알루미늄을 함유하지 않는다. 유사하게는, 제1 증착된 층이 산화하프늄 또는 질화하프늄을 함유하는 경우에, 제2 증착된 층은 산화하프늄 또는 질화하프늄을 함유하지 않는다.
[0044] ALD 공정의 각 사이클은 항공우주용 부품을 제2 전구체에 노출시키고, 펌프-퍼지를 수행하고, 항공우주용 부품을 제2 반응물에 노출시키고, 펌프-퍼지를 수행하여 제2 증착된 층을 형성하는 것을 포함한다. 제2 전구체 및 제2 반응물의 순서는, ALD 사이클이 항공우주용 부품의 표면을 제2 반응물에 노출시키고, 펌프-퍼지를 수행하고, 항공우주용 부품을 제2 전구체에 노출시키고, 펌프-퍼지를 수행하여 제2 증착된 층을 형성하는 것을 포함하도록, 역전될 수 있다.
[0045] 하나 이상의 예들에서, 각 ALD 사이클 동안, 항공우주용 부품은 약 0.1초 내지 약 10초 동안 제2 전구체에, 약 0.1초 내지 약 10초 동안 제2 반응물에, 및 약 0.5초 내지 약 30초 동안 펌프-퍼지에 노출된다. 다른 예들에서, 각 ALD 사이클 동안, 항공우주용 부품은 약 0.5초 내지 약 3초 동안 제2 전구체에, 약 0.5초 내지 약 3초 동안 제2 반응물에, 및 약 1초 내지 약 10초 동안 펌프-퍼지에 노출된다.
[0046] 각 ALD 사이클은 제2 증착된 층을 형성하기 위해 2, 3, 4, 5, 6, 8, 약 10, 약 12, 또는 약 15회 내지 약 18, 약 20, 약 25, 약 30, 약 40, 약 50, 약 65, 약 80, 약 100, 약 120, 약 150, 약 200, 약 250, 약 300, 약 350, 약 400, 약 500, 약 800, 약 1,000회 또는 그 이상 반복된다. 예를 들어, 각 ALD 사이클은 제2 증착된 층을 형성하기 위해 2회 내지 약 1,000회, 2회 내지 약 800회, 2회 내지 약 500회, 2회 내지 약 300회, 2회 내지 약 250회, 2회 내지 약 200회, 2회 내지 약 150회, 2회 내지 약 120회, 2회 내지 약 100회, 2회 내지 약 80회, 2회 내지 약 50회, 2회 내지 약 30회, 2회 내지 약 20회, 2회 내지 약 15회, 2회 내지 약 10회, 2회 내지 5회, 약 8회 내지 약 1,000회, 약 8회 내지 약 800회, 약 8회 내지 약 500회, 약 8회 내지 약 300회, 약 8회 내지 약 250회, 약 8회 내지 약 200회, 약 8회 내지 약 150회, 약 8회 내지 약 120회, 약 8회 내지 약 100회, 약 8회 내지 약 80회, 약 8회 내지 약 50회, 약 8회 내지 약 30회, 약 8회 내지 약 20회, 약 8회 내지 약 15회, 약 8회 내지 약 10회, 약 20회 내지 약 1,000회, 약 20회 내지 약 800회, 약 20회 내지 약 500회, 약 20회 내지 약 300회, 약 20회 내지 약 250회, 약 20회 내지 약 200회, 약 20회 내지 약 150회, 약 20회 내지 약 120회, 약 20회 내지 약 100회, 약 20회 내지 약 80회, 약 20회 내지 약 50회, 약 20회 내지 약 30회, 약 50회 내지 약 1,000회, 약 50회 내지 약 500회, 약 50회 내지 약 350회, 약 50회 내지 약 300회, 약 50회 내지 약 250회, 약 50회 내지 약 150회, 또는 약 50회 내지 약 100회 반복된다.
[0047] 제2 증착된 층은 약 0.1 nm, 약 0.2 nm, 약 0.3 nm, 약 0.4 nm, 약 0.5 nm, 약 0.8 nm, 약 1 nm, 약 2 nm, 약 3 nm, 약 5 nm, 약 8 nm, 약 10 nm, 약 12 nm, 또는 약 15 nm 내지 약 18 nm, 약 20 nm, 약 25 nm, 약 30 nm, 약 40 nm, 약 50 nm, 약 60 nm, 약 80 nm, 약 100 nm, 약 120 nm, 또는 약 150 nm의 두께를 가질 수 있다. 예를 들어, 제2 증착된 층은 약 0.1 nm 내지 약 150 nm, 약 0.2 nm 내지 약 150 nm, 약 0.2 nm 내지 약 120 nm, 약 0.2 nm 내지 약 100 nm, 약 0.2 nm 내지 약 80 nm, 약 0.2 nm 내지 약 50 nm, 약 0.2 nm 내지 약 40 nm, 약 0.2 nm 내지 약 30 nm, 약 0.2 nm 내지 약 20 nm, 약 0.2 nm 내지 약 10 nm, 약 0.2 nm 내지 약 5 nm, 약 0.2 nm 내지 약 1 nm, 약 0.2 nm 내지 약 0.5 nm, 약 0.5 nm 내지 약 150 nm, 약 0.5 nm 내지 약 120 nm, 약 0.5 nm 내지 약 100 nm, 약 0.5 nm 내지 약 80 nm, 약 0.5 nm 내지 약 50 nm, 약 0.5 nm 내지 약 40 nm, 약 0.5 nm 내지 약 30 nm, 약 0.5 nm 내지 약 20 nm, 약 0.5 nm 내지 약 10 nm, 약 0.5 nm 내지 약 5 nm, 약 0.5 nm 내지 약 1 nm, 약 2 nm 내지 약 150 nm, 약 2 nm 내지 약 120 nm, 약 2 nm 내지 약 100 nm, 약 2 nm 내지 약 80 nm, 약 2 nm 내지 약 50 nm, 약 2 nm 내지 약 40 nm, 약 2 nm 내지 약 30 nm, 약 2 nm 내지 약 20 nm, 약 2 nm 내지 약 10 nm, 약 2 nm 내지 약 5 nm, 약 2 nm 내지 약 3 nm, 약 10 nm 내지 약 150 nm, 약 10 nm 내지 약 120 nm, 약 10 nm 내지 약 100 nm, 약 10 nm 내지 약 80 nm, 약 10 nm 내지 약 50 nm, 약 10 nm 내지 약 40 nm, 약 10 nm 내지 약 30 nm, 약 10 nm 내지 약 20 nm, 또는 약 10 nm 내지 약 15 nm의 두께를 가질 수 있다.
[0048] 일부 예들에서, 제1 증착된 층은 산화크롬, 질화크롬, 또는 이들의 조합을 함유한 크롬-함유 층이며, 제2 증착된 층은 산화알루미늄, 질화규소, 산화하프늄, 규산하프늄, 산화티탄, 또는 이들의 임의의 조합 중 하나 이상을 함유한다.
[0049] 하나 이상의 구현예들에서, 보호 코팅 또는 나노라미네이트 막 스택은 1, 2, 3, 4, 5, 6, 7, 8, 또는 9개 쌍들의 제1 증착된 층 및 제2 증착된 층 내지 약 10, 약 12, 약 15, 약 20, 약 25, 약 30, 약 40, 약 50, 약 65, 약 80, 약 100, 약 120, 약 150, 약 200, 약 250, 약 300, 약 500, 약 800, 또는 약 1,000개 쌍들의 제1 증착된 층 및 제2 증착된 층을 함유할 수 있다. 예를 들어, 나노라미네이트 막 스택은 1 내지 약 1,000, 1 내지 약 800, 1 내지 약 500, 1 내지 약 300, 1 내지 약 250, 1 내지 약 200, 1 내지 약 150, 1 내지 약 120, 1 내지 약 100, 1 내지 약 80, 1 내지 약 65, 1 내지 약 50, 1 내지 약 30, 1 내지 약 20, 1 내지 약 15, 1 내지 약 10, 1 내지 약 8, 1 내지 약 6, 1 내지 5, 1 내지 4, 1 내지 3, 약 5 내지 약 150, 약 5 내지 약 120, 약 5 내지 약 100, 약 5 내지 약 80, 약 5 내지 약 65, 약 5 내지 약 50, 약 5 내지 약 30, 약 5 내지 약 20, 약 5 내지 약 15, 약 5 내지 약 10, 약 5 내지 약 8, 약 5 내지 약 7, 약 10 내지 약 150, 약 10 내지 약 120, 약 10 내지 약 100, 약 10 내지 약 80, 약 10 내지 약 65, 약 10 내지 약 50, 약 10 내지 약 30, 약 10 내지 약 20, 약 10 내지 약 15, 또는 약 10 내지 약 12개 쌍들의 제1 증착된 층 및 제2 증착된 층을 함유할 수 있다.
[0050] 보호 코팅 또는 나노라미네이트 막 스택은 약 1 nm, 약 2 nm, 약 3 nm, 약 5 nm, 약 8 nm, 약 10 nm, 약 12 nm, 약 15 nm, 약 20 nm, 약 30 nm, 약 50 nm, 약 60 nm, 약 80 nm, 약 100 nm, 또는 약 120 nm 내지 약 150 nm, 약 180 nm, 약 200 nm, 약 250 nm, 약 300 nm, 약 350 nm, 약 400 nm, 약 500 nm, 약 800 nm, 약 1,000 nm, 약 2,000 nm, 약 3,000 nm, 약 4,000 nm, 약 5,000 nm, 약 6,000 nm, 약 7,000 nm, 약 8,000 nm, 약 9,000 nm, 약 10,000 nm, 또는 그 이상의 두께를 가질 수 있다. 일부 예들에서, 보호 코팅 또는 나노라미네이트 막 스택은 10 ㎛ 미만(10,000 nm 미만)의 두께를 가질 수 있다. 예를 들어, 보호 코팅 또는 나노라미네이트 막 스택은 약 1 nm 내지 10,000 nm 미만, 약 1 nm 내지 약 8,000 nm, 약 1 nm 내지 약 6,000 nm, 약 1 nm 내지 약 5,000 nm, 약 1 nm 내지 약 3,000 nm, 약 1 nm 내지 약 2,000 nm, 약 1 nm 내지 약 1,500 nm, 약 1 nm 내지 약 1,000 nm, 약 1 nm 내지 약 500 nm, 약 1 nm 내지 약 400 nm, 약 1 nm 내지 약 300 nm, 약 1 nm 내지 약 250 nm, 약 1 nm 내지 약 200 nm, 약 1 nm 내지 약 150 nm, 약 1 nm 내지 약 100 nm, 약 1 nm 내지 약 80 nm, 약 1 nm 내지 약 50 nm, 약 20 nm 내지 약 500 nm, 약 20 nm 내지 약 400 nm, 약 20 nm 내지 약 300 nm, 약 20 nm 내지 약 250 nm, 약 20 nm 내지 약 200 nm, 약 20 nm 내지 약 150 nm, 약 20 nm 내지 약 100 nm, 약 20 nm 내지 약 80 nm, 약 20 nm 내지 약 50 nm, 약 30 nm 내지 약 400 nm, 약 30 nm 내지 약 200 nm, 약 50 nm 내지 약 500 nm, 약 50 nm 내지 약 400 nm, 약 50 nm 내지 약 300 nm, 약 50 nm 내지 약 250 nm, 약 50 nm 내지 약 200 nm, 약 50 nm 내지 약 150 nm, 약 50 nm 내지 약 100 nm, 약 80 nm 내지 약 250 nm, 약 80 nm 내지 약 200 nm, 약 80 nm 내지 약 150 nm, 약 80 nm 내지 약 100 nm, 약 50 nm 내지 약 80 nm, 약 100 nm 내지 약 500 nm, 약 100 nm 내지 약 400 nm, 약 100 nm 내지 약 300 nm, 약 100 nm 내지 약 250 nm, 약 100 nm 내지 약 200 nm, 또는 약 100 nm 내지 약 150 nm의 두께를 가질 수 있다.
[0051] 일부 구현예들에서, 나노라미네이트 막 스택은 하나 이상의 어닐링 공정들에 선택적으로 노출될 수 있다. 일부 예들에서, 나노라미네이트 막 스택은 어닐링 공정 동안 병합된 막 또는 결정질 막으로 변환될 수 있다. 어닐링 공정 동안, 고온은 나노라미네이트 막 스택 내의 층들을, 새로운 결정질 어셈블리가 병합된 막 또는 결정질 막의 보존성 및 보호 특성들을 향상시키는 단일 구조로 병합시킨다. 다른 예들에서, 나노라미네이트 막 스택은 어닐링 공정 동안 가열되고, 조밀화될 수 있지만, 여전히 나노라미네이트 막 스택으로서 유지될 수 있다. 어닐링 공정은 열적 어닐, 플라즈마 어닐, 자외선 어닐, 레이저 어닐, 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0052] 항공우주용 부품 상에 배치된 나노라미네이트 막 스택은 어닐링 공정 동안 약 400℃, 약 500℃, 약 600℃, 또는 약 700℃ 내지 약 750℃, 약 800℃, 약 900℃, 약 1,000℃, 약 1,100℃, 약 1,200℃ 또는 그 이상의 온도로 가열된다. 예를 들어, 항공우주용 부품 상에 배치된 나노라미네이트 막 스택은 어닐링 공정 동안 약 400℃ 내지 약 1,200℃, 약 400℃ 내지 약 1,100℃, 약 400℃ 내지 약 1,000℃, 약 400℃ 내지 약 900℃, 약 400℃ 내지 약 800℃, 약 400℃ 내지 약 700℃, 약 400℃ 내지 약 600℃, 약 400℃ 내지 약 500℃, 약 550℃ 내지 약 1,200℃, 약 550℃ 내지 약 1,100℃, 약 550℃ 내지 약 1,000℃, 약 550℃ 내지 약 900℃, 약 550℃ 내지 약 800℃, 약 550℃ 내지 약 700℃, 약 550℃ 내지 약 600℃, 약 700℃ 내지 약 1,200℃, 약 700℃ 내지 약 1,100℃, 약 700℃ 내지 약 1,000℃, 약 700℃ 내지 약 900℃, 약 700℃ 내지 약 800℃, 약 850℃ 내지 약 1,200℃, 약 850℃ 내지 약 1,100℃, 약 850℃ 내지 약 1,000℃, 또는 약 850℃ 내지 약 900℃의 온도로 가열된다.
[0053] 나노라미네이트 막 스택은 어닐링 공정 동안 저압(예를 들어, 약 0.1 Torr 내지 760 Torr 미만)에서의 진공 하에, 주변 압력(예를 들어, 약 760 Torr)에, 및/또는 고압(예를 들어, 760 Torr(1 atm) 초과 내지 약 3,678 Torr(약 5 atm))에 있을 수 있다. 나노라미네이트 막 스택은 어닐링 공정 동안 하나 이상의 가스들을 함유한 대기에 노출될 수 있다. 어닐링 공정 동안 사용되는 예시적인 가스들은 질소(N2), 아르곤, 헬륨, 수소(H2), 산소(O2), 또는 이들의 임의의 조합들일 수 있거나, 이를 포함할 수 있다. 어닐링 공정은 약 0.01초 내지 약 10분 동안 수행될 수 있다. 일부 예들에서, 어닐링 공정은 열적 어닐일 수 있고, 약 1분, 약 5분, 약 10분, 또는 약 30분 내지 약 1시간, 약 2시간, 약 5시간, 또는 약 24시간 동안 지속된다. 다른 예들에서, 어닐링 공정은 레이저 어닐 또는 스파이크 어닐일 수 있고, 약 1밀리초, 약 100밀리초, 또는 약 1초 내지 약 5초, 약 10초, 또는 약 15초 동안 지속된다.
[0054] 보호 코팅 또는 병합된 막 또는 결정질 막은 약 1 nm, 약 2 nm, 약 3 nm, 약 5 nm, 약 8 nm, 약 10 nm, 약 12 nm, 약 15 nm, 약 20 nm, 약 30 nm, 약 50 nm, 약 60 nm, 약 80 nm, 약 100 nm, 또는 약 120 nm 내지 약 150 nm, 약 180 nm, 약 200 nm, 약 250 nm, 약 300 nm, 약 350 nm, 약 400 nm, 약 500 nm, 약 700 nm, 약 850 nm, 약 1,000 nm, 약 1,200 nm, 약 1,500 nm, 약 2,000 nm, 약 3,000 nm, 약 4,000 nm, 약 5,000 nm, 약 6,000 nm, 약 7,000 nm, 약 8,000 nm, 약 9,000 nm, 약 10,000 nm, 또는 그 이상의 두께를 가질 수 있다. 일부 예들에서, 보호 코팅 또는 병합된 막 또는 결정질 막은 10 ㎛ 미만(10,000 nm 미만)의 두께를 가질 수 있다. 예를 들어, 보호 코팅 또는 병합된 막 또는 결정질 막은 약 1 nm 내지 10,000 nm 미만, 약 1 nm 내지 약 8,000 nm, 약 1 nm 내지 약 6,000 nm, 약 1 nm 내지 약 5,000 nm, 약 1 nm 내지 약 3,000 nm, 약 1 nm 내지 약 2,000 nm, 약 1 nm 내지 약 1,500 nm, 약 1 nm 내지 약 1,000 nm, 약 1 nm 내지 약 500 nm, 약 1 nm 내지 약 400 nm, 약 1 nm 내지 약 300 nm, 약 1 nm 내지 약 250 nm, 약 1 nm 내지 약 200 nm, 약 1 nm 내지 약 150 nm, 약 1 nm 내지 약 100 nm, 약 1 nm 내지 약 80 nm, 약 1 nm 내지 약 50 nm, 약 20 nm 내지 약 500 nm, 약 20 nm 내지 약 400 nm, 약 20 nm 내지 약 300 nm, 약 20 nm 내지 약 250 nm, 약 20 nm 내지 약 200 nm, 약 20 nm 내지 약 150 nm, 약 20 nm 내지 약 100 nm, 약 20 nm 내지 약 80 nm, 약 20 nm 내지 약 50 nm, 약 30 nm 내지 약 400 nm, 약 30 nm 내지 약 200 nm, 약 50 nm 내지 약 500 nm, 약 50 nm 내지 약 400 nm, 약 50 nm 내지 약 300 nm, 약 50 nm 내지 약 250 nm, 약 50 nm 내지 약 200 nm, 약 50 nm 내지 약 150 nm, 약 50 nm 내지 약 100 nm, 약 80 nm 내지 약 250 nm, 약 80 nm 내지 약 200 nm, 약 80 nm 내지 약 150 nm, 약 80 nm 내지 약 100 nm, 약 50 nm 내지 약 80 nm, 약 100 nm 내지 약 500 nm, 약 100 nm 내지 약 400 nm, 약 100 nm 내지 약 300 nm, 약 100 nm 내지 약 250 nm, 약 100 nm 내지 약 200 nm, 또는 약 100 nm 내지 약 150 nm의 두께를 가질 수 있다.
[0055] 하나 이상의 구현예들에서, 보호 코팅은 비교적 높은 균일도를 가질 수 있다. 보호 코팅은 개개 보호 코팅의 두께의 50% 미만, 40% 미만, 또는 30% 미만의 균일성을 가질 수 있다. 보호 코팅은 두께의 약 0%, 약 0.5%, 약 1%, 약 2%, 약 3%, 약 5%, 약 8%, 또는 약 10% 내지 약 12%, 약 15%, 약 18%, 약 20%, 약 22%, 약 25%, 약 28%, 약 30%, 약 35%, 약 40%, 약 45%, 또는 50% 미만의 균일성을 가질 수 있다. 예를 들어, 보호 코팅은 두께의 약 0% 내지 약 50%, 약 0% 내지 약 40%, 약 0% 내지 약 30%, 약 0% 내지 30% 미만, 약 0% 내지 약 28%, 약 0% 내지 약 25%, 약 0% 내지 약 20%, 약 0% 내지 약 15%, 약 0% 내지 약 10%, 약 0% 내지 약 8%, 약 0% 내지 약 5%, 약 0% 내지 약 3%, 약 0% 내지 약 2%, 약 0% 내지 약 1%, 약 1% 내지 약 50%, 약 1% 내지 약 40%, 약 1% 내지 약 30%, 약 1% 내지 30% 미만, 약 1% 내지 약 28%, 약 1% 내지 약 25%, 약 1% 내지 약 20%, 약 1% 내지 약 15%, 약 1% 내지 약 10%, 약 1% 내지 약 8%, 약 1% 내지 약 5%, 약 1% 내지 약 3%, 약 1% 내지 약 2%, 약 5% 내지 약 50%, 약 5% 내지 약 40%, 약 5% 내지 약 30%, 약 5% 내지 30% 미만, 약 5% 내지 약 28%, 약 5% 내지 약 25%, 약 5% 내지 약 20%, 약 5% 내지 약 15%, 약 5% 내지 약 10%, 약 5% 내지 약 8%, 약 10% 내지 약 50%, 약 10% 내지 약 40%, 약 10% 내지 약 30%, 약 10% 내지 30% 미만, 약 10% 내지 약 28%, 약 10% 내지 약 25%, 약 10% 내지 약 20%, 약 10% 내지 약 15%, 또는 약 10% 내지 약 12%의 균일성을 가질 수 있다.
[0056] 일부 구현예들에서, 보호 코팅은 베이스 금속 내에 함유된 하나 이상의 도핑 금속들 및/또는 하나 이상의 그레이딩 금속(grading metal)들과 같은, 재료 전반에 걸친 상이한 비율들의 금속들을 함유하거나, 이러한 금속들로 형성되거나, 달리 생성될 수 있으며, 여기서, 임의의 금속들은 임의의 화학적으로 산화된 형태 또는 상태일 수 있다(예를 들어, 산화물, 질화물, 규화물, 탄화물, 또는 이들의 조합들). 하나 이상의 예들에서, 제1 증착된 층은 제1 두께까지 증착되며, 제2 증착된 층은 제2 두께까지 증착된다. 제1 두께는 제2 두께와 동일할 수 있거나, 제1 두께는 제2 두께와 상이할 수 있다(제2 두께 미만 또는 제2 두께 초과일 수 있다). 예를 들어, 제1 증착된 층은 각각 동일한 양의 서브-층들(예를 들어, 각 ALD 사이클에 대해 하나의 서브-층)을 생성하기 위해 2회 이상(3, 4, 5, 6, 7, 8, 9, 10회 이상)의 ALD 사이클들에 의해 증착될 수 있으며, 이후에, 제2 증착된 층은 1회의 ALD 사이클 또는 제1 증착된 층을 증착시키기 위해 사용되는 ALD 사이클들의 수 미만 또는 초과인 다수의 ALD 사이클들에 의해 증착될 수 있다. 다른 예들에서, 제1 증착된 층은 CVD에 의해 제1 두께까지 증착될 수 있으며, 제2 증착된 층은 ALD에 의해 제1 두께 미만인 제2 두께까지 증착된다.
[0057] 다른 구현예들에서, ALD 공정은 제1 증착된 층 및/또는 제2 증착된 층을 증착시키기 위해 이용될 수 있으며, 여기서, 증착된 재료는 ALD 공정 동안 도펀트 전구체를 포함시킴으로써 도핑된다. 일부 예들에서, 도펀트 전구체는 베이스 재료를 증착시키기 위해 사용되는 ALD 사이클들과 관련하여 별도의 ALD 사이클들에 포함될 수 있다. 다른 예들에서, 도펀트 전구체는 ALD 사이클 동안 사용되는 임의의 화학적 전구체들과 동시-주입될 수 있다. 추가 예들에서, 도펀트 전구체는 ALD 사이클 동안 화학적 전구체들과 별도로 주입될 수 있다. 예를 들어, 하나의 ALD 사이클은 항공우주용 부품을 제1 전구체, 펌프-퍼지, 도펀트 전구체, 펌프-퍼지, 제1 반응물, 및 펌프-퍼지에 노출시켜 증착된 층을 형성하는 것을 포함할 수 있다. 일부 예들에서, 하나의 ALD 사이클은 항공우주용 부품을 도펀트 전구체, 펌프-퍼지, 제1 전구체, 펌프-퍼지, 제1 반응물, 및 펌프-퍼지에 노출시켜 증착된 층을 형성하는 것을 포함할 수 있다. 다른 예들에서, 하나의 ALD 사이클은 항공우주용 부품을, 제1 전구체, 도펀트 전구체, 펌프-퍼지, 제1 반응물, 및 펌프-퍼지에 노출시켜 증착된 층을 형성하는 것을 포함할 수 있다.
[0058] 하나 이상의 구현예들에서, 제1 증착된 층 및/또는 제2 증착된 층은 하나 이상의 베이스 재료들 및 하나 이상의 도핑 재료들을 함유한다. 베이스 재료는 산화알루미늄, 산화크롬, 또는 산화알루미늄과 산화크롬의 조합이거나, 이를 함유한다. 도핑 재료는 하프늄, 산화하프늄, 이트륨, 산화이트륨, 세륨, 산화세륨, 규소, 산화규소, 이들의 질화물들, 또는 이들의 임의의 조합이거나, 이를 함유한다. 본원에 기술된 임의의 전구체들 또는 시약들은 도핑 전구체 또는 도펀트로서 사용될 수 있다. 예시적인 세륨 전구체는 하나 이상의 세륨(IV) 테트라(2,2,6,6-테트라메틸-3,5-헵탄디오네이트)(Ce(TMHD)4), 트리스(사이클로펜타디엔) 세륨((C5H5)3Ce), 트리스(프로필사이클로펜타디엔) 세륨([(C3H7)C5H4]3Ce), 트리스(테트라메틸사이클로펜타디엔) 세륨([(CH3)4C5H]3Ce), 또는 이들의 임의의 조합일 수 있거나, 이를 포함할 수 있다.
[0059] 도핑 재료는 제1 증착된 층, 제2 증착된 층, 나노라미네이트 막 스택, 및/또는 병합된 막 또는 결정질 막 내에서 약 0.01 원자 퍼센트(원자%), 약 0.05 원자%, 약 0.08 원자%, 약 0.1 원자%, 약 0.5 원자%, 약 0.8 원자%, 약 1 원자%, 약 1.2 원자%, 약 1.5 원자%, 약 1.8 원자%, 또는 약 2 원자% 내지 약 2.5 원자%, 약 3 원자%, 약 3.5 원자%, 약 4 원자%, 약 5 원자%, 약 8 원자%, 약 10 원자%, 약 15 원자%, 약 20 원자%, 약 25 원자%, 또는 약 30 원자%의 농도를 가질 수 있다. 예를 들어, 도핑 재료는 제1 증착된 층, 제2 증착된 층, 나노라미네이트 막 스택, 및/또는 병합된 막 또는 결정질 막 내에서 약 0.01 원자% 내지 약 30 원자%, 약 0.01 원자% 내지 약 25 원자%, 약 0.01 원자% 내지 약 20 원자%, 약 0.01 원자% 내지 약 15 원자%, 약 0.01 원자% 내지 약 12 원자%, 약 0.01 원자% 내지 약 10 원자%, 약 0.01 원자% 내지 약 8 원자%, 약 0.01 원자% 내지 약 5 원자%, 약 0.01 원자% 내지 약 4 원자%, 약 0.01 원자% 내지 약 3 원자%, 약 0.01 원자% 내지 약 2.5 원자%, 약 0.01 원자% 내지 약 2 원자%, 약 0.01 원자% 내지 약 1.5 원자%, 약 0.01 원자% 내지 약 1 원자%, 약 0.01 원자% 내지 약 0.5 원자%, 약 0.01 원자% 내지 약 0.1 원자%, 약 0.1 원자% 내지 약 30 원자%, 약 0.1 원자% 내지 약 25 원자%, 약 0.1 원자% 내지 약 20 원자%, 약 0.1 원자% 내지 약 15 원자%, 약 0.1 원자% 내지 약 12 원자%, 약 0.1 원자% 내지 약 10 원자%, 약 0.1 원자% 내지 약 8 원자%, 약 0.1 원자% 내지 약 5 원자%, 약 0.1 원자% 내지 약 4 원자%, 약 0.1 원자% 내지 약 3 원자%, 약 0.1 원자% 내지 약 2.5 원자%, 약 0.1 원자% 내지 약 2 원자%, 약 0.1 원자% 내지 약 1.5 원자%, 약 0.1 원자% 내지 약 1 원자%, 약 0.1 원자% 내지 약 0.5 원자%, 약 1 원자% 내지 약 30 원자%, 약 1 원자% 내지 약 25 원자%, 약 1 원자% 내지 약 20 원자%, 약 1 원자% 내지 약 15 원자%, 약 1 원자% 내지 약 12 원자%, 약 1 원자% 내지 약 10 원자%, 약 1 원자% 내지 약 8 원자%, 약 1 원자% 내지 약 5 원자%, 약 1 원자% 내지 약 4 원자%, 약 1 원자% 내지 약 3 원자%, 약 1 원자% 내지 약 2.5 원자%, 약 1 원자% 내지 약 2 원자%, 또는 약 1 원자% 내지 약 1.5 원자%의 농도를 가질 수 있다.
[0060] 하나 이상의 구현예들에서, 보호 코팅은 산화알루미늄(또는 다른 베이스 재료)을 함유한 제1 증착된 층 및 산화하프늄(또는 다른 도핑 재료)을 함유한 제2 증착된 층을 가지거나, 산화하프늄(또는 다른 도핑 재료)을 함유한 제1 증착된 층 및 산화알루미늄(또는 다른 베이스 재료)을 함유한 제2 증착된 층을 갖는 나노라미네이트 막 스택을 포함한다. 하나 이상의 예들에서, 보호 코팅은 산화알루미늄과 산화하프늄의 조합, 하프늄-도핑된 산화알루미늄, 하프늄 알루미네이트, 또는 이들의 임의의 조합을 함유한다. 예를 들어, 보호 코팅은 산화알루미늄을 함유한 제1 증착된 층 및 산화하프늄을 함유한 제2 증착된 층을 가지거나, 산화하프늄을 함유한 제1 증착된 층 및 산화알루미늄을 함유한 제2 증착된 층을 갖는 나노라미네이트 막 스택을 포함한다. 다른 예들에서, 보호 코팅은 산화알루미늄 및 산화하프늄의 층들로부터 형성된 병합된 막 또는 결정질 막을 포함한다. 하나 이상의 구현예들에서, 보호 코팅은 산화알루미늄(또는 다른 베이스 재료)을 함유한 나노라미네이트 막 스택 또는 병합된 막 또는 결정질 막 내에서 약 0.01 원자%, 약 0.05 원자%, 약 0.08 원자%, 약 0.1 원자%, 약 0.5 원자%, 약 0.8 원자%, 또는 약 1 원자% 내지 약 1.2 원자%, 약 1.5 원자%, 약 1.8 원자%, 약 2 원자%, 약 2.5 원자%, 약 3 원자%, 약 3.5 원자%, 약 4 원자%, 약 4.5 원자%, 또는 약 5 원자%의 하프늄(또는 다른 도핑 재료)의 농도를 갖는다. 예를 들어, 보호 코팅은 산화알루미늄(또는 다른 베이스 재료)을 함유한 나노라미네이트 막 스택 또는 병합된 막 또는 결정질 막 내에서 약 0.01 원자% 내지 약 10 원자%, 약 0.01 원자% 내지 약 8 원자%, 약 0.01 원자% 내지 약 5 원자%, 약 0.01 원자% 내지 약 4 원자%, 약 0.01 원자% 내지 약 3 원자%, 약 0.01 원자% 내지 약 2.5 원자%, 약 0.01 원자% 내지 약 2 원자%, 약 0.01 원자% 내지 약 1.5 원자%, 약 0.01 원자% 내지 약 1 원자%, 약 0.01 원자% 내지 약 0.5 원자%, 약 0.01 원자% 내지 약 0.1 원자%, 약 0.01 원자% 내지 약 0.05 원자%, 약 0.1 원자% 내지 약 5 원자%, 약 0.1 원자% 내지 약 4 원자%, 약 0.1 원자% 내지 약 3 원자%, 약 0.1 원자% 내지 약 2.5 원자%, 약 0.1 원자% 내지 약 2 원자%, 약 0.1 원자% 내지 약 1.5 원자%, 약 0.1 원자% 내지 약 1 원자%, 약 0.1 원자% 내지 약 0.5 원자%, 약 0.5 원자% 내지 약 5 원자%, 약 0.5 원자% 내지 약 4 원자%, 약 0.5 원자% 내지 약 3 원자%, 약 0.5 원자% 내지 약 2.5 원자%, 약 0.5 원자% 내지 약 2 원자%, 약 0.5 원자% 내지 약 1.5 원자%, 약 0.5 원자% 내지 약 1 원자%, 약 1 원자% 내지 약 5 원자%, 약 1 원자% 내지 약 4 원자%, 약 1 원자% 내지 약 3 원자%, 약 1 원자% 내지 약 2.5 원자%, 약 1 원자% 내지 약 2 원자%, 또는 약 1 원자% 내지 약 1.5 원자%의 하프늄(또는 다른 도핑 재료)의 농도를 갖는다.
[0061] 항공우주용 부품을 포함하는, 본원에 기술되고 논의되는 항공우주용 부품들은 연료 시스템, 터빈, 항공기, 우주선, 또는 하나 이상의 터빈들을 포함할 수 있는 다른 디바이스들(예를 들어, 압축기들, 펌프들, 터보 팬들, 수퍼 차저(super charger)들, 등)의 하나 이상의 부품들 또는 이들의 부분들일 수 있거나, 이를 포함할 수 있다. 예시적인 항공우주용 부품들은 연료 노즐, 연소기 라이너, 연소기 쉴드, 열교환기, 연료 라인, 연료 밸브, 연료(예를 들어, 비행 연료 또는 제트 연료)에 노출된 임의의 다른 파트 또는 부분뿐만 아니라 하나 이상의 터빈 블레이드들, 터빈 날개들, 리브들, 휜들(fin), 핀 휜들(pin fin), 내부 냉각 채널, 또는 그 위에 보호 코팅이 증착됨으로써 혜택을 받을 수 있는 임의의 다른 항공우주용 부품 또는 파트일 수 있거나, 이를 포함할 수 있다.
[0062] 항공우주용 부품은 하나 이상의 외측 또는 외부 표면들 및 하나 이상의 내측 또는 내부 표면들을 갖는다. 보호 코팅은 항공우주용 부품들의 내부 표면들 및/또는 외부 표면들 상에 증착되거나 달리 형성될 수 있다. 내부 표면들은 항공우주용 부품 내에서 연장하거나 함유되어 있는 하나 이상의 공동들을 규정할 수 있다. 공동들은 내부 표면들 사이에 배치된 채널들, 통로들, 공간들, 등일 수 있다. 공동은 하나 이상의 개구들을 가질 수 있다. 항공우주용 부품 내의 공동들 각각은 통상적으로 1 초과의 종횡비들(예를 들어, 폭으로 나누어진 길이)을 갖는다. 본원에 기술되고 논의되는 방법들은 고종횡비들(1 초과)을 갖고/갖거나 공동들 내에 있는 내부 표면들 상에 보호 코팅을 증착시키고/시키거나 이를 달리 형성하는 것을 제공한다.
[0063] 공동의 종횡비는 약 2, 약 3, 약 5, 약 8, 약 10, 또는 약 12 내지 약 15, 약 20, 약 25, 약 30, 약 40, 약 50, 약 65, 약 80, 약 100, 약 120, 약 150, 약 200, 약 250, 약 300, 약 500, 약 800, 약 1,000 또는 그 초과일 수 있다. 예를 들어, 공동의 종횡비는 약 2 내지 약 1,000, 약 2 내지 약 500, 약 2 내지 약 200, 약 2 내지 약 150, 약 2 내지 약 120, 약 2 내지 약 100, 약 2 내지 약 80, 약 2 내지 약 50, 약 2 내지 약 40, 약 2 내지 약 30, 약 2 내지 약 20, 약 2 내지 약 10, 약 2 내지 약 8, 약 5 내지 약 1,000, 약 5 내지 약 500, 약 5 내지 약 200, 약 5 내지 약 150, 약 5 내지 약 120, 약 5 내지 약 100, 약 5 내지 약 80, 약 5 내지 약 50, 약 5 내지 약 40, 약 5 내지 약 30, 약 5 내지 약 20, 약 5 내지 약 10, 약 5 내지 약 8, 약 10 내지 약 1,000, 약 10 내지 약 500, 약 10 내지 약 200, 약 10 내지 약 150, 약 10 내지 약 120, 약 10 내지 약 100, 약 10 내지 약 80, 약 10 내지 약 50, 약 10 내지 약 40, 약 10 내지 약 30, 약 10 내지 약 20, 약 20 내지 약 1,000, 약 20 내지 약 500, 약 20 내지 약 200, 약 20 내지 약 150, 약 20 내지 약 120, 약 20 내지 약 100, 약 20 내지 약 80, 약 20 내지 약 50, 약 20 내지 약 40, 또는 약 20 내지 약 30일 수 있다.
[0064] 항공우주용 부품 및 하나 이상의 외측 또는 외부 표면들 및/또는 하나 이상의 내측 또는 내부 표면들을 포함하는 이의 임의의 표면은 하나 이상의 금속들, 예를 들어, 니켈, 크롬, 코발트, 크롬-코발트 합금들, 몰리브덴, 철, 티탄, 하나 이상의 니켈 초합금들, 하나 이상의 Inconel 합금들, 하나 이상의 Hastelloy 합금들, 하나 이상의 Invar 합금들, 하나 이상의 Inovoco 합금들, 이들의 합금들, 또는 이들의 임의의 조합으로 제조되거나, 이를 함유하거나, 달리 포함할 수 있다. 보호 코팅은 하나 이상의 외측 또는 외부 표면들 및/또는 하나 이상의 내측 또는 내부 표면들을 포함하는 항공우주용 부품의 임의의 표면 상에 증착되거나, 형성되거나, 달리 생성될 수 있다.
[0065] 본원에 기술되고 논의되는 보호 코팅은 항공우주용 부품의 임의의 표면 상에 증착되거나 달리 형성된 라미네이트 막 스택들, 병합된 막들, 결정질 막, 그레이드된 조성물들(graded compositions), 및/또는 모놀리식 막들 중 하나 이상일 수 있거나, 이를 포함할 수 있다. 일부 예들에서, 보호 코팅은 약 1% 내지 약 100%의 산화크롬을 함유한다. 보호 코팅은 콘포말(conformal)하고, 표면의 개방 기공들, 블라인드 홀들, 및 비가시선 영역들(non-line-of sight regions)에 포함하는, 표면 토폴로지(surface topology)에 따라 거친 표면 피쳐들을 실질적으로 코팅한다. 보호 코팅은 표면 거칠기를 실질적으로 증가시키지 않으며, 일부 구현예들에서, 보호 코팅은 이러한 것이 병합할 때까지 컨포말한 코팅 거칠기에 의해 표면 거칠기를 감소시킬 수 있다. 보호 코팅은 항공우주용 부품의 거칠기보다 실질적으로 더 큰 입자들을 증착으로부터 함유할 수 있지만, 모놀리식 막과는 별개로 간주된다. 보호 코팅은 실질적으로 잘 접착되고 핀홀이 존재하지 않는다. 보호 코팅의 두께들은 40%의 1-시그마 내에서 달라질 수 있다. 하나 이상의 구현예들에서, 두께는 20%, 10%, 5%, 1%, 또는 0.1%의 1-시그마 미만에서 달라진다.
[0066] 코크스 증착에 대한 보호를 제공하는 것 외에, 보호 코팅은 항공우주용 부품들이 공기, 산소, 황 및/또는 황 화합물들, 산들, 염기들, 염들(예를 들어, Na, K, Mg, Li, 또는 Ca 염들), 또는 이들의 임의의 조합에 노출될 때 부식 및 산화 보호를 제공한다. 항공우주용 부품은 정상 작업 동안 또는 임의의 탄소 축적을 제거하기 위한 세정 공정 동안 이러한 조건들에 노출될 수 있다.
[0067] 본원에 기술되는 하나 이상의 구현예들은 제1 재료(예를 들어, 산화크롬, 산화알루미늄, 및/또는 질화알루미늄) 및 다른 제2 재료의 교대 나노라미네이트를 생성하는 방법들을 이용하여 하부 크롬-함유 합금의 보존을 위한 방법들을 포함한다. 제2 재료는 산화알루미늄, 질화알루미늄, 산질화알루미늄, 산화규소, 질화규소, 탄화규소, 산화이트륨, 질화이트륨, 규소질화이트륨, 산화하프늄, 규산하프늄, 규화하프늄, 질화하프늄, 산화티탄, 질화티탄, 규화티탄, 규산티탄, 이들의 도펀트들, 이들의 합금들, 또는 이들의 임의의 조합 중 하나 이상일 수 있거나, 이를 포함할 수 있다. 얻어진 막은 나노라미네이트 막 스택으로서 사용될 수 있거나, 막은 고온이 막들을 단일 구조로 병합하는 어닐링으로 처리될 수 있으며, 단일 구조에서, 새로운 결정질 어셈블리는 이러한 위에 가로놓인 막의 보존성 및 보호 특성들을 향상시킨다.
[0068] 특정 구현예에서, 크롬 전구체(약 0℃ 내지 약 250℃의 온도에서)는 약 5초의 사전-결정된 펄스 길이 동안 기상 전달을 통해 항공우주용 부품으로 전달된다. 이러한 공정 동안, 증착 반응기는 약 350℃의 사전결정된 온도 및 약 3.5 Torr의 압력에서 유지된 챔버와 함께 질소 운반 가스의 흐름(총 약 1,000 sccm) 하에서 작동된다. 크롬 전구체의 펄스 후에, 챔버에서는 이후에 후속하여 모든 필수 가스들 및 부산물들이 결정된 양의 시간 동안 펌핑되고 퍼징된다. 후속하여, 물(또는 다른 산화제)은 약 3.5 Torr의 챔버 압력에서 약 0.1초 동안 챔버에 펄싱된다. 추가 챔버 퍼지(또는 펌프/퍼지)는 이후에 임의의 과량의 반응물들 및 반응 부산물들을 반응기에서 제거하기 위해 수행된다. 이러한 공정은 표적 산화크롬 막을 원하는 막 두께까지 얻기 위해 필요한 경우 여러 차례 반복된다.
[0069] 제2 막(예: 산화알루미늄)에 대해, 전구체, 트리메틸알루미늄(약 0℃ 내지 약 30℃의 온도에서)은 약 0.1초의 사전결정된 펄스 길이 동안 기상 전달을 통해 항공우주용 부품에 전달된다. 이러한 공정 동안, 증착 반응기는 약 150℃ 내지 약 350℃의 사전결정된 온도 및 약 1 Torr 내지 약 5 Torr의 압력에서 유지된 챔버와 함께 질소 운반 가스의 흐름(총 약 100 sccm) 하에서 작동된다. 트리메틸알루미늄의 펄스 후에, 챔버에서는 이후에 후속하여 결정된 양의 시간 동안 모든 필수 가스들 및 부산물들이 펌핑되고 퍼징된다. 후속하여, 수증기는 약 3.5 Torr의 챔버 압력에서 약 0.1초 동안 챔버에 펄싱된다. 추가 챔버 퍼지는 이후에, 반응기에서 임의의 과량의 반응물들 및 반응 부산물들을 제거하기 위해 수행된다. 이러한 공정은 표적 산화알루미늄 막을 원하는 막 두께로 얻기 위해 필요한 경우 여러 차례 반복된다. 항공우주용 부품은 이후에 약 1시간 동안 약 500 sccm의 불활성 질소 흐름 하에서 약 500℃의 온도에서 어닐링 로에 적용된다.
[0070] 본 개시의 구현예들은 또한 하기 문단들 1 내지 31 중 임의의 하나 이상에 관한 것이다:
[0071] 1. 항공우주용 부품 상에 코팅을 증착시키는 방법으로서, 항공우주용 부품을 세정 공정에 노출시켜 항공우주용 부품 상에 세정된 표면을 생성시키고; 원자층 증착(ALD) 공정에 의해 항공우주용 부품을 하나 이상의 전구체들 및 하나 이상의 반응물들에 순차적으로 노출시켜 항공우주용 부품의 세정된 표면 상에 보호 코팅을 형성하는 것을 포함하는 방법.
[0072] 2. 문단 1에 있어서, 항공우주용 부품이 연료 노즐, 연소기 라이너, 연소기 쉴드, 열교환기, 연료 라인, 연료 밸브, 또는 이들의 임의의 조합인 방법.
[0073] 3. 문단 1 또는 문단 2에 있어서, 항공우주용 부품의 세정된 표면이 항공우주용 부품의 내부 표면인 방법.
[0074] 4. 문단 1 내지 문단 3 중 어느 하나에 있어서, 항공우주용 부품의 세정된 표면이 약 5 내지 약 1,000의 종횡비를 갖는 방법.
[0075] 5. 문단 1 내지 문단 4 중 어느 하나에 있어서, 항공우주용 부품의 세정된 표면이 약 20 내지 약 500의 종횡비를 갖는 방법.
[0076] 6. 문단 1 내지 문단 5 중 어느 하나에 있어서, 항공우주용 부품의 세정된 표면이 니켈, 니켈 초합금, 스테인리스강, 코발트, 크롬, 몰리브덴, 철, 티탄, 이들의 합금들, 또는 이들의 임의의 조합을 포함하는 방법.
[0077] 7. 문단 1 내지 문단 6 중 어느 하나에 있어서, 세정 공정 동안에 오염물질이 항공우주용 부품으로부터 제거되어 세정된 표면을 생성하는 방법.
[0078] 8. 문단 7에 있어서, 오염물질이 산화물, 유기 잔류물, 탄소, 미립자들, 또는 이들의 임의의 조합을 포함하는 방법.
[0079] 9. 문단 1 내지 문단 8 중 어느 하나에 있어서, 세정 공정이 항공우주용 부품을 용매 세정, 산 세정, 염기성 세정, 습식 세정, 오존 세정, 플라즈마 세정, 초음파처리, 또는 이들의 임의의 조합에 노출시키는 것을 포함하는 방법.
[0080] 10. 문단 1 내지 문단 9 중 어느 하나에 있어서, 보호 코팅이 산화알루미늄, 질화알루미늄, 산질화알루미늄, 산화규소, 질화규소, 산질화규소, 산화크롬, 산화탄탈, 질화탄탈, 산질화탄탈, 이들의 합금들, 또는 이들의 임의의 조합을 포함하는 방법.
[0081] 11. 문단 1 내지 문단 10 중 어느 하나에 있어서, 항공우주용 부품이 연료의 존재 하에서 가열될 때, 보호 코팅이 코크스 형성을 감소시키거나 억제하는 방법.
[0082] 12. 문단 1 내지 문단 11 중 어느 하나에 있어서, 보호 코팅이 약 10 nm 내지 약 5,000 nm의 두께를 갖는 방법.
[0083] 13. 문단 1 내지 문단 12 중 어느 하나에 있어서, 보호 코팅이 약 100 nm 내지 약 4,000 nm의 두께를 갖는 방법.
[0084] 14. 문단 1 내지 문단 13 중 어느 하나에 있어서, 보호 코팅이 약 500 nm 내지 약 2,000 nm의 두께를 갖는 방법.
[0085] 15. 문단 1 내지 문단 14 중 어느 하나에 있어서, 보호 코팅이 200% 미만의 두께 변화를 갖는 방법.
[0086] 16. 문단 1 내지 문단 15 중 어느 하나에 있어서, 보호 코팅이 100% 미만의 두께 변화를 갖는 방법.
[0087] 17. 문단 1 내지 문단 16 중 어느 하나에 있어서, 보호 코팅이 25% 미만의 두께 변화를 갖는 방법.
[0088] 18. 문단 1 내지 문단 17 중 어느 하나에 있어서, 보호 코팅이 5% 미만의 두께 변화를 갖는 방법.
[0089] 19. 문단 1 내지 문단 18 중 어느 하나에 있어서, 보호 코팅이 0.5% 미만의 두께 변화를 갖는 방법.
[0090] 20. 문단 1 내지 문단 19 중 어느 하나에 있어서, 전구체가 트리메틸 알루미늄을 포함하는 방법.
[0091] 21. 문단 20에 있어서, 트리메틸 알루미늄이 99.5 중량% 이상의 순도를 갖는 방법.
[0092] 22. 문단 21에 있어서, 트리메틸 알루미늄이 약 99.9 중량% 내지 약 99.999 중량%의 순도를 갖는 방법.
[0093] 23. 문단 1 내지 문단 22 중 어느 하나에 있어서, 반응물이 산화제 및/또는 질화제를 포함하는 방법.
[0094] 24. 문단 1 내지 문단 23 중 어느 하나에 있어서, 반응물이 산화제를 포함하며, 산화제가 물, 오존, 산소(O2), 원자 산소, 아산화질소, 과산화물, 알코올, 이들의 플라즈마들, 또는 이들의 임의의 조합을 포함하는 방법.
[0095] 25. 문단 1 내지 문단 24 중 어느 하나에 있어서, 반응물이 질화제를 포함하며, 질화제가 암모니아, 원자 질소, 하이드라진, 이들의 플라즈마들, 또는 이들의 임의의 조합을 포함하는 방법.
[0096] 26. 문단 1 내지 문단 25 중 어느 하나에 있어서, 전구체가 알루미늄 전구체를 포함하며, 알루미늄 전구체가 트리스(알킬) 알루미늄, 트리스(알콕시) 알루미늄, 알루미늄 디케토네이트들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합을 포함하는 방법.
[0097] 27. 문단 26에 있어서, 알루미늄 전구체가 트리메틸알루미늄, 트리에틸알루미늄, 트리프로필알루미늄, 트리부틸알루미늄, 트리메톡시알루미늄, 트리에톡시알루미늄, 트리프로폭시알루미늄, 트리부톡시알루미늄, 알루미늄 아세틸아세토네이트, 알루미늄 헥사플루오로아세틸아세토네이트, 트리스디피발로일메타네이토알루미늄, 이들의 이성질체들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합을 포함하는 방법.
[0098] 28. 문단 1 내지 문단 27 중 어느 하나에 있어서, 보호 코팅이 나노라미네이트 막 스택을 포함하는 방법.
[0099] 29. 문단 28에 있어서, 나노라미네이트 막 스택이 제1 증착된 층 및 제2 증착된 층을 포함하며,
방법은 나노라미네이트 막 스택의 두께를 증가시키면서 2개 쌍들 내지 약 500개 쌍들의 제1 증착된 층 및 제2 증착된 층을 증착시키는 것을 추가로 포함하는 방법.
[00100] 30. 문단 29에 있어서, 각 쌍의 제1 증착된 층 및 제2 증착된 층이 약 0.2 nm 내지 약 500 nm의 두께를 갖는 방법.
[00101] 31. 문단 29 또는 문단 30에 있어서, 항공우주용 부품을 어닐링하고 나노라미네이트 막 스택을 병합된 막 또는 결정질 막으로 변환시키는 것을 추가로 포함하는 방법.
[00102] 전술한 바가 본 개시의 구현예들에 관한 것이지만, 다른 및 추가적인 구현예들은 본 개시의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 개시의 범위는 다음의 청구항들에 의해 결정된다. 임의의 우선권 문헌들 및/또는 이러한 것들이 이러한 텍스트와 일치하는 않는 범위 내에서 시험 절차들을 포함하는, 본원에 기술된 모든 문헌들은 본원에 참고로 포함된다. 전술한 일반 설명 및 특정 구현예들로부터 명백한 바와 같이, 본 개시의 형태들이 예시되고 기술되었지만, 다양한 변형들은 본 개시의 사상 및 범위를 벗어나지 않으면서 이루어질 수 있다. 이에 따라, 본 개시가 이로 제한되는 것으로 의도되지 않는다. 마찬가지로, 용어 "포함하는(comprising)"은 미국법의 목적상 용어 "포함하는(including)"과 동의어로 간주된다. 마찬가지로, 조성물, 엘리먼트 또는 엘리먼트들의 그룹이 연결 어구(transitional phrase) "포함하는(comprising)" 앞에 기술될 때, 본 출원인이 또한, 조성물, 엘리먼트, 또는 엘리먼트들의 기술 뒤에 기술되는 연결 어구 "필수적 요소로 하여 구성하는(consisting essentially of)." "구성하는(consisting of)," "로 구성하는 군으로부터 선택된" 또는 "이다"를 갖는 동일한 조성물 또는 엘리먼트들의 그룹을 고려하고 그 반대도 고려하는 것으로 이해된다.
[00103] 특정 구현예들 및 특징들은 한 세트의 수치적 상한 및 한 세트의 수치적 하한을 사용하여 기술되었다. 달리 명시하지 않는 한, 임의의 2개의 수치들의 조합, 예를 들어, 임의의 하한 수치와 임의의 상한 수치의 조합, 임의의 2개의 하한 수치들의 조합, 및/또는 임의의 상한 수치들의 조합을 포함하는 범위들이 고려되는 것이 인식되어야 한다. 특정 하한, 상한 및 범위는 하기 하나 이상의 청구항들에 나타나 있다.

Claims (15)

  1. 항공우주용 부품 상에 코팅을 증착시키는 방법으로서,
    상기 항공우주용 부품을 세정 공정에 노출시켜 상기 항공우주용 부품 상에 세정된 표면을 생성시키는 단계; 및
    원자층 증착(ALD) 공정에 의해 상기 항공우주용 부품을 전구체 및 반응물에 순차적으로 노출시켜 상기 항공우주용 부품의 상기 세정된 표면 상에 보호 코팅을 형성하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 항공우주용 부품이 연료 노즐, 연소기 라이너, 연소기 쉴드, 열교환기, 연료 라인, 연료 밸브, 또는 이들의 임의의 조합이며, 상기 항공우주용 부품의 상기 세정된 표면이 니켈, 니켈 초합금, 스테인리스강, 코발트, 크롬, 몰리브덴, 철, 티탄, 이들의 합금들, 또는 이들의 임의의 조합을 포함하는 방법.
  3. 제1항에 있어서, 상기 항공우주용 부품의 상기 세정된 표면이 상기 항공우주용 부품의 내부 표면이며, 상기 항공우주용 부품의 상기 세정된 표면이 약 5 내지 약 1,000의 종횡비를 갖는 방법.
  4. 제1항에 있어서, 상기 세정 공정 동안 오염물질이 상기 항공우주용 부품으로부터 제거되어 상기 세정된 표면을 생성하며, 상기 오염물질이 산화물, 유기물 잔부, 탄소, 미립자들, 또는 이들의 임의의 조합을 포함하는 방법.
  5. 제1항에 있어서, 상기 세정 공정이 상기 항공우주용 부품을 용매 세정, 산 세정, 염기성 세정, 습식 세정, 오존 세정, 플라즈마 세정, 초음파처리, 또는 이들의 임의의 조합에 노출시키는 것을 포함하는 방법.
  6. 제1항에 있어서, 상기 보호 코팅이 산화알루미늄, 질화알루미늄, 산질화알루미늄, 산화규소, 질화규소, 산질화규소, 산화크롬, 산화탄탈, 질화탄탈, 산질화탄탈, 이들의 합금들, 또는 이들의 임의의 조합을 포함하는 방법.
  7. 제1항에 있어서, 상기 항공우주용 부품이 연료의 존재 하에서 가열될 때, 상기 보호 코팅이 코크스 형성을 감소시키거나 억제하는 방법.
  8. 제1항에 있어서, 상기 보호 코팅이 약 10 nm 내지 약 5,000 nm의 두께를 가지며, 상기 보호 코팅이 5% 미만의 두께 변화를 갖는 방법.
  9. 제1항에 있어서, 상기 전구체가 트리메틸 알루미늄을 포함하며, 상기 트리메틸 알루미늄이 약 99.9 중량% 내지 약 99.999 중량%의 순도를 갖는 방법.
  10. 제1항에 있어서,
    상기 반응물이 산화제를 포함하며, 상기 산화제가 물, 오존, 산소(O2), 원자 산소, 아산화질소, 과산화물, 알코올, 이들의 플라즈마들, 또는 이들의 임의의 조합을 포함하거나;
    상기 반응물이 질화제를 포함하며, 상기 질화제가 암모니아, 원자 질소, 하이드라진, 이들의 플라즈마들, 또는 이들의 임의의 조합을 포함하는 방법.
  11. 제1항에 있어서, 상기 보호 코팅이 나노라미네이트 막 스택(nanolaminate film stack)을 포함하며, 상기 나노라미네이트 막 스택이 제1 증착된 층 및 제2 증착된 층을 포함하며,
    상기 방법은 상기 나노라미네이트 막 스택의 두께를 증가시키면서 2개 쌍들 내지 약 500개 쌍들의 상기 제1 증착된 층 및 상기 제2 증착된 층을 증착시키는 단계를 추가로 포함하는 방법.
  12. 제11항에 있어서, 각 쌍의 상기 제1 증착된 층 및 상기 제2 증착된 층이 약 0.2 nm 내지 약 500 nm의 두께를 갖는 방법.
  13. 제11항에 있어서, 상기 항공우주용 부품을 어닐링하는 단계, 및 상기 나노라미네이트 막 스택을 병합된 막(coalesced film) 또는 결정질 막으로 변환시키는 단계를 추가로 포함하는 방법.
  14. 항공우주용 부품 상에 코팅을 증착시키는 방법으로서,
    상기 항공우주용 부품을 세정 공정에 노출시켜 상기 항공우주용 부품 상에 세정된 표면을 생성시키는 단계로서,
    상기 항공우주용 부품은 연료 노즐, 연소기 라이너, 연소기 쉴드, 열교환기, 연료 라인, 연료 밸브, 또는 이들의 임의의 조합이며,
    상기 항공우주용 부품의 상기 세정된 표면은 상기 항공우주용 부품의 내부 표면이며,
    상기 항공우주용 부품의 상기 세정된 표면은 약 5 내지 약 1,000의 종횡비를 갖는 단계; 및
    원자층 증착(ALD) 공정에 의해 상기 항공우주용 부품을 전구체 및 반응물에 순차적으로 노출시켜 상기 항공우주용 부품의 상기 세정된 표면 상에 보호 코팅을 형성하는 단계를 포함하는 방법.
  15. 항공우주용 부품 상에 코팅을 증착시키는 방법으로서,
    상기 항공우주용 부품을 세정 공정에 노출시켜 상기 항공우주용 부품 상에 세정된 표면을 생성시키는 단계로서, 상기 항공우주용 부품의 상기 세정된 표면은 상기 항공우주용 부품의 내부 표면이며, 상기 항공우주용 부품의 상기 세정된 표면은 약 5 내지 약 1,000의 종횡비를 갖는 단계; 및
    원자층 증착(ALD) 공정에 의해 상기 항공우주용 부품을 전구체 및 반응물에 순차적으로 노출시켜 상기 항공우주용 부품의 상기 세정된 표면 상에 보호 코팅을 형성하는 단계로서, 상기 보호 코팅은 약 10 nm 내지 약 5,000 nm의 두께를 가지며, 상기 보호 코팅은 50% 미만의 두께 변화를 갖는 단계를 포함하는 방법.
KR1020217041014A 2019-05-16 2020-03-23 항공우주용 부품들 상에 코킹 방지 보호 코팅들을 증착시키는 방법들 KR20210156305A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962848953P 2019-05-16 2019-05-16
US62/848,953 2019-05-16
US16/542,968 2019-08-16
US16/542,968 US11794382B2 (en) 2019-05-16 2019-08-16 Methods for depositing anti-coking protective coatings on aerospace components
PCT/US2020/024285 WO2020231520A1 (en) 2019-05-16 2020-03-23 Methods for depositing anti-coking protective coatings on aerospace components

Publications (1)

Publication Number Publication Date
KR20210156305A true KR20210156305A (ko) 2021-12-24

Family

ID=73245382

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217041014A KR20210156305A (ko) 2019-05-16 2020-03-23 항공우주용 부품들 상에 코킹 방지 보호 코팅들을 증착시키는 방법들

Country Status (7)

Country Link
US (1) US11794382B2 (ko)
EP (1) EP3969634A4 (ko)
JP (1) JP2022532343A (ko)
KR (1) KR20210156305A (ko)
CN (1) CN113966411A (ko)
SG (1) SG11202112205WA (ko)
WO (1) WO2020231520A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US20220081763A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. Aluminum oxide protective coatings on turbocharger components and other rotary equipment components

Family Cites Families (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0209307B1 (en) 1985-07-15 1988-09-07 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Cleaning of metal articles
US5217757A (en) 1986-11-03 1993-06-08 United Technologies Corporation Method for applying aluminide coatings to superalloys
FR2643087B1 (fr) 1989-02-16 1991-06-07 Unirec Procede de depot d'un revetement de type ceramique sur un substrat metallique et element comportant un revetement obtenu par ce procede
JP2823086B2 (ja) 1990-05-21 1998-11-11 日本碍子株式会社 連結部材およびその連結方法
US5362228A (en) 1991-11-04 1994-11-08 Societe Europeenne De Propulsion Apparatus for preheating a flow of gas in an installation for chemical vapor infiltration, and a densification method using the apparatus
US6379466B1 (en) 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
JP2928063B2 (ja) 1993-01-15 1999-07-28 ゼネラル・エレクトリック・カンパニイ 燃料の熱減成付着物を防止するための被覆物品および方法
US6495271B1 (en) 1993-03-01 2002-12-17 General Electric Company Spallation-resistant protective layer on high performance alloys
WO1996031687A1 (en) 1995-04-06 1996-10-10 General Electric Company Method and composite for protection of thermal barrier coating with an impermeable barrier coating
US5503874A (en) 1994-09-30 1996-04-02 General Electric Company Method for low temperature chemical vapor deposition of aluminides containing easily oxidized metals
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6872429B1 (en) 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6805750B1 (en) 1998-06-12 2004-10-19 United Technologies Corporation Surface preparation process for deposition of ceramic coating
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6042898A (en) 1998-12-15 2000-03-28 United Technologies Corporation Method for applying improved durability thermal barrier coatings
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6402898B1 (en) 1999-07-28 2002-06-11 Yeda Research And Development Co., Ltd. Coherently controlled laser distillation of chiral enantiomers
US6332926B1 (en) 1999-08-11 2001-12-25 General Electric Company Apparatus and method for selectively coating internal and external surfaces of an airfoil
DE10196055T1 (de) 2000-04-13 2003-04-03 Gelest Inc Verfahren zur Gasphasenabscheidung von Titan-Silicium-Stickstoff-Filmen
US6359089B2 (en) 2000-04-19 2002-03-19 Dupont Dow Elastomers, L.L.C. Fluorovinyl ether cure site monomers and fluoroelastomer copolymer compositions thereof
US6630244B1 (en) * 2001-03-23 2003-10-07 Delavan Inc. Carbon resistant surface coating
JP3603112B2 (ja) 2000-05-31 2004-12-22 独立行政法人産業技術総合研究所 アルミナ結晶質薄膜の低温製法
KR20030024685A (ko) * 2000-06-08 2003-03-26 서피스 엔지니어드 프로덕츠 코포레이션 고온 스테인레스강을 위한 코팅 시스템
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20020127336A1 (en) 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6465040B2 (en) 2001-02-06 2002-10-15 General Electric Company Method for refurbishing a coating including a thermally grown oxide
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
WO2003008552A2 (en) 2001-07-17 2003-01-30 Whitehead Institute For Biomedical Research Mll translocations specify a distinct gene expression profile, distinguishing a unique leukemia
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003044242A2 (en) 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
JP2003164819A (ja) 2001-11-30 2003-06-10 Fujikura Ltd 押出機またはその部品の清掃方法
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6949342B2 (en) 2001-12-21 2005-09-27 Whitehead Institute For Biomedical Research Prostate cancer diagnosis and outcome prediction by expression analysis
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR100442869B1 (ko) 2002-02-02 2004-08-02 삼성전자주식회사 반도체 기판 세정공정용 반도체 제조장치 및 그를 이용한반도체 기판 세정공정
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6905939B2 (en) 2002-02-27 2005-06-14 Applied Materials, Inc. Process for forming silicon oxide material
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040009665A1 (en) 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US6831021B2 (en) 2002-06-12 2004-12-14 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6808816B2 (en) 2002-09-13 2004-10-26 General Electric Company Method and coating system for reducing carbonaceous deposits on surfaces exposed to hydrocarbon fuels at elevated temperatures
EP1411210A1 (en) 2002-10-15 2004-04-21 ALSTOM Technology Ltd Method of depositing an oxidation and fatigue resistant MCrAIY-coating
US8257450B2 (en) 2002-12-18 2012-09-04 Afton Chemical Intangibles Llc Manganese compounds to inhibit both low-and high-temperature corrosion in utility and industrial furnace systems
US20070023142A1 (en) 2002-12-19 2007-02-01 Lagraff John R Airfoil refurbishment method
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7060366B2 (en) 2003-02-19 2006-06-13 General Electric Company Article including a substrate with a metallic coating and a chromium-aluminide protective coating thereon, and its preparation and use in component restoration
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100630677B1 (ko) 2003-07-02 2006-10-02 삼성전자주식회사 포토레지스트 패턴에의 불소를 포함하지 않는 탄소 함유폴리머 생성을 위한 플라즈마 전처리를 포함하는 식각 방법
US6905730B2 (en) 2003-07-08 2005-06-14 General Electric Company Aluminide coating of turbine engine component
GB0319349D0 (en) 2003-08-18 2003-09-17 Southside Thermal Sciences Sts Coatings and an optical method for detecting corrosion processes in coatings
US6921251B2 (en) 2003-09-05 2005-07-26 General Electric Company Aluminide or chromide coating of turbine engine rotor component
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7361233B2 (en) 2003-12-10 2008-04-22 General Electric Company Methods of hydrogen cleaning of metallic surfaces
US7285312B2 (en) 2004-01-16 2007-10-23 Honeywell International, Inc. Atomic layer deposition for turbine components
US20050255329A1 (en) 2004-05-12 2005-11-17 General Electric Company Superalloy article having corrosion resistant coating thereon
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP2006010403A (ja) 2004-06-23 2006-01-12 Seiko Epson Corp 時計用外装部品の補修方法
WO2007001301A2 (en) 2004-06-28 2007-01-04 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060021633A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7875119B2 (en) 2004-10-01 2011-01-25 United Technologies Corporation Apparatus and method for coating an article
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP3757418B1 (ja) 2005-01-19 2006-03-22 石川島播磨重工業株式会社 拡散アルミナイドコーティングの局部施工方法
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
KR100674967B1 (ko) 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
CA2605970A1 (en) 2005-04-29 2006-11-09 National Research Council Of Canada Method of on-line thickness measurement of applied coatings
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054487A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7807231B2 (en) 2005-11-30 2010-10-05 General Electric Company Process for forming thermal barrier coating resistant to infiltration
KR101192248B1 (ko) 2005-12-21 2012-10-17 에스케이케미칼주식회사 금속 표면에 형성된 산화막 및 탄화막 제거제
FI121341B (fi) 2006-02-02 2010-10-15 Beneq Oy Hopean suojapinnoitus
US7833358B2 (en) 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080135914A1 (en) 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080032510A1 (en) 2006-08-04 2008-02-07 Christopher Olsen Cmos sion gate dielectric performance with double plasma nitridation containing noble gas
US7700167B2 (en) 2006-08-31 2010-04-20 Honeywell International Inc. Erosion-protective coatings on polymer-matrix composites and components incorporating such coated composites
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US20090239061A1 (en) 2006-11-08 2009-09-24 General Electric Corporation Ceramic corrosion resistant coating for oxidation resistance
WO2008057123A1 (en) 2006-11-09 2008-05-15 Massachusetts Institute Of Technology Preparation and properties of cr-c-p hard coatings annealed at high temperature for high temperature applications
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US20080268154A1 (en) 2007-04-30 2008-10-30 Shreyas Kher Methods for depositing a high-k dielectric material using chemical vapor deposition process
US8056652B2 (en) 2007-06-25 2011-11-15 Smith International, Inc. Barrier coated granules for improved hardfacing material using atomic layer deposition
US7910446B2 (en) 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US20090134035A1 (en) 2007-08-02 2009-05-28 United Technologies Corporation Method for forming platinum aluminide diffusion coatings
KR101281682B1 (ko) 2007-08-29 2013-07-03 삼성전자주식회사 알루미늄 산화물층 형성방법 및 이를 이용한 전하 트랩형메모리 소자의 제조 방법
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090098289A1 (en) 2007-10-12 2009-04-16 Deininger Mark A Pig and Method for Applying Prophylactic Surface Treatments
US20110175038A1 (en) 2008-01-28 2011-07-21 College Of William & Mary Coated carbon nanoflakes
US8227078B2 (en) 2008-02-11 2012-07-24 General Electric Company Anti-fouling coatings for combustion system components exposed to slag, ash and/or char
GB0805224D0 (en) 2008-03-20 2008-04-30 Minebea Co Ltd An aerospace bearing component
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7816200B2 (en) 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US7573586B1 (en) 2008-06-02 2009-08-11 United Technologies Corporation Method and system for measuring a coating thickness
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100075499A1 (en) 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
US8031346B2 (en) 2008-10-31 2011-10-04 Siemens Energy, Inc. Coating evaluation process
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US8470460B2 (en) 2008-11-25 2013-06-25 Rolls-Royce Corporation Multilayer thermal barrier coatings
US8658255B2 (en) 2008-12-19 2014-02-25 General Electric Company Methods for making environmental barrier coatings and ceramic components having CMAS mitigation capability
WO2010077847A2 (en) 2008-12-31 2010-07-08 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
GB0902633D0 (en) 2009-02-18 2009-04-01 Rolls Royce Plc A method and an arrangement for vapour phase coating of an internal surface of at least one hollow article
US8721812B2 (en) 2009-04-07 2014-05-13 Rolls-Royce Corporation Techniques for controlling precipitate phase domain size in an alloy
US8198671B2 (en) 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
EP2427590B1 (en) 2009-05-08 2018-07-11 MT Coatings, LLC Apparatus and methods for forming modified metal coatings
JP5581805B2 (ja) 2010-05-24 2014-09-03 トヨタ自動車株式会社 ステンレス鋼材へのめっき方法及びそのめっき材
EP2392895B1 (en) 2010-06-01 2013-03-06 Tenaris Connections Ltd. Method for measurement of geometrical parameters of coated threaded joints
US20120024403A1 (en) * 2010-07-30 2012-02-02 Hamilton Sundstrand Corporation Inorganic coke resistant coatings to prevent aircraft fuel system fouling
US9255327B2 (en) 2010-08-24 2016-02-09 Wayne State University Thermally stable volatile precursors
DE102010040839B4 (de) 2010-09-15 2013-10-17 Osram Opto Semiconductors Gmbh Verfahren zum Herstellen eines elektronsichen Bauelements und elektronisches Bauelement
US8871297B2 (en) 2010-09-30 2014-10-28 Barry Barnett Method of applying a nanocrystalline coating to a gas turbine engine component
US8673397B2 (en) 2010-11-10 2014-03-18 General Electric Company Methods of fabricating and coating a component
KR101679721B1 (ko) 2010-12-13 2016-11-28 삼성전자주식회사 포토마스크 및 그 제조 방법
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
TW201308021A (zh) 2011-06-15 2013-02-16 Applied Materials Inc 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8779407B2 (en) 2012-02-07 2014-07-15 Intermolecular, Inc. Multifunctional electrode
EP2628817B1 (en) 2012-02-15 2016-11-02 IHI Hauzer Techno Coating B.V. A coated article of martensitic steel and a method of forming a coated article of steel
JP6126852B2 (ja) 2012-02-21 2017-05-10 ハウメット コーポレイションHowmet Corporation ガスタービン部品のコーティング及びコーティング方法
FR3000601B1 (fr) 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
GB2511768A (en) 2013-03-12 2014-09-17 Rolls Royce Plc Erosion Resistant Coating
US9252392B2 (en) 2013-03-14 2016-02-02 Applied Materials, Inc. Thin film encapsulation-thin ultra high barrier layer for OLED application
SG11201507165PA (en) 2013-04-10 2015-10-29 Picosun Oy Protecting a target pump interior with an ald coating
WO2015047783A1 (en) 2013-09-24 2015-04-02 United Technologies Corporation Method of simultaneously applying three different diffusion aluminide coatings to a single part
EP3060695B1 (en) 2013-10-21 2019-12-11 United Technologies Corporation Ceramic attachment configuration and method for manufacturing same
CN104647828B (zh) 2013-11-21 2017-06-16 北京有色金属研究总院 一种Cr2O3和Al2O3复合梯度阻氢涂层及其制备方法和应用
CN106995911B (zh) 2013-12-10 2020-07-31 应用材料公司 蒸发源、沉积设备以及用于蒸发有机材料的方法
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
EP3094764A4 (en) 2014-01-15 2017-08-30 Savroc Ltd Method for producing chromium-containing multilayer coating and a coated object
BR112016016106B1 (pt) 2014-01-15 2023-04-04 Savroc Ltd Método para produção de um revestimento de cromo sobre um objeto por trivalente cromagem
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
EP3105364B1 (en) * 2014-02-13 2020-05-27 General Electric Company Anti-coking coatings, processes therefor, and hydrocarbon fluid passages provided therewith
KR20170002607A (ko) 2014-05-09 2017-01-06 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 시스템 및 이를 사용하기 위한 방법
WO2015191543A1 (en) 2014-06-10 2015-12-17 Applied Materials Israel, Ltd. Scanning an object using multiple mechanical stages
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US10487412B2 (en) 2014-07-11 2019-11-26 Savroc Ltd Chromium-containing coating, a method for its production and a coated object
US10072335B2 (en) 2014-08-29 2018-09-11 University Of Maryland, College Park Method of coating of object
EP3198052A1 (en) 2014-09-22 2017-08-02 3M Innovative Properties Company Internal combustion engine components with anti-fouling properties and methods of making same
US9620150B2 (en) 2014-11-11 2017-04-11 Seagate Technology Llc Devices including an amorphous gas barrier layer
CN104498899B (zh) * 2014-12-13 2017-09-29 西安近代化学研究所 一种利用原子层沉积钝化层抑制金属表面积碳的方法
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
US9490145B2 (en) * 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9683281B2 (en) * 2015-04-10 2017-06-20 United Technologies Corporation Laser assisted oxide removal
WO2016179286A1 (en) 2015-05-05 2016-11-10 Massachusetts Institute Of Technology Substrate pre-scanning for high throughput microscopy
US20180351164A1 (en) 2015-05-15 2018-12-06 Applied Materials, Inc. Masking device for use in a lithium deposition process in the manufacturing of thin film batteries, apparatus configured for a lithium deposition process, method for manufacturing electrodes of thin film batteries, and thin film battery
DE102015209824A1 (de) 2015-05-28 2016-12-01 BSH Hausgeräte GmbH Vorrichtung und Verfahren zur Bestimmung der Schmutzfracht in einer Spül- oder Waschflotte
KR20170063149A (ko) 2015-11-30 2017-06-08 재단법인 포항산업과학연구원 소재 표면 세정 장치 및 세정 방법
FR3044684B1 (fr) 2015-12-03 2017-12-08 Ge Energy Products France Snc Inhibiteurs de la corrosion vanadique a base d'yttrium et de magnesium
EP3205765A1 (en) 2016-02-11 2017-08-16 The Procter and Gamble Company Method of washing
KR101761736B1 (ko) 2016-02-29 2017-07-26 주식회사 성진케미칼 저농도 킬레이트제를 이용하는 친환경성 산화막 제거제 및 그 사용방법
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
KR102511828B1 (ko) 2016-06-29 2023-03-21 삼성전자주식회사 자기 메모리 소자의 제조 방법
US10081036B2 (en) 2016-09-19 2018-09-25 Applied Materials, Inc. Methods and systems for liquid particle prequalification
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108966657B (zh) 2017-03-17 2020-10-23 应用材料公司 载体、真空系统和操作真空系统的方法
US10422984B2 (en) 2017-05-12 2019-09-24 Applied Materials, Inc. Flexible mode scanning optical microscopy and inspection system
US10745282B2 (en) 2017-06-08 2020-08-18 Applied Materials, Inc. Diamond-like carbon film
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI782077B (zh) 2017-09-11 2022-11-01 美商應用材料股份有限公司 光罩清潔製程
TWI796358B (zh) 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
JP2021515654A (ja) 2018-03-09 2021-06-24 メリット・メディカル・システムズ・インコーポレイテッドMerit Medical Systems,Inc. 超音波適合性の膨張可能な血管圧迫及び関連するシステム及び方法
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11473197B2 (en) 2018-03-16 2022-10-18 Raytheon Technologies Corporation HPC and HPT disks coated by atomic layer deposition
CN111868299A (zh) 2018-03-19 2020-10-30 应用材料公司 用于在部件的内表面上施加涂层的反应器
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
TWI821300B (zh) 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US20200043722A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
EP4041933A4 (en) 2019-10-08 2023-11-01 Applied Materials, Inc. METHOD FOR DEPOSING PROTECTIVE ANTI-COKING COATINGS ON AEROSPACE COMPONENTS

Also Published As

Publication number Publication date
JP2022532343A (ja) 2022-07-14
EP3969634A1 (en) 2022-03-23
WO2020231520A1 (en) 2020-11-19
US11794382B2 (en) 2023-10-24
EP3969634A4 (en) 2023-01-25
US20200361124A1 (en) 2020-11-19
CN113966411A (zh) 2022-01-21
SG11202112205WA (en) 2021-12-30

Similar Documents

Publication Publication Date Title
US11794382B2 (en) Methods for depositing anti-coking protective coatings on aerospace components
US11384648B2 (en) Methods for depositing coatings on aerospace components
US11732353B2 (en) Methods of protecting aerospace components against corrosion and oxidation
US20240076776A1 (en) Methods for depositing anti-coking protective coatings on aerospace components
US20230002897A1 (en) Methods for forming protective coatings containing crystallized aluminum oxide
US11739429B2 (en) Methods for refurbishing aerospace components
US20210156267A1 (en) Methods for depositing protective coatings on turbine blades and other aerospace components
US20220050051A1 (en) Methods for detecting end-points for cleaning processes of aerospace components
CN111902566B (zh) 使用含铬薄膜保护金属部件以抗腐蚀的方法