CN110600379B - 具有矩形轮廓的间隔件及其制造方法 - Google Patents

具有矩形轮廓的间隔件及其制造方法 Download PDF

Info

Publication number
CN110600379B
CN110600379B CN201910768482.8A CN201910768482A CN110600379B CN 110600379 B CN110600379 B CN 110600379B CN 201910768482 A CN201910768482 A CN 201910768482A CN 110600379 B CN110600379 B CN 110600379B
Authority
CN
China
Prior art keywords
layer
spacer
protective layer
spacer layer
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910768482.8A
Other languages
English (en)
Other versions
CN110600379A (zh
Inventor
张钰声
李忠儒
包天一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110600379A publication Critical patent/CN110600379A/zh
Application granted granted Critical
Publication of CN110600379B publication Critical patent/CN110600379B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

一种方法,包括在图案化部件的顶面和侧壁上形成间隔件层,其中,图案化部件在基础层的上面。形成保护层以接触间隔件层的顶面和侧壁表面。保护层的水平部分被移除,其中,在移除之后保留保护层的垂直部分。间隔件层被蚀刻以移除间隔件层的水平部分,其中,间隔件层的垂直部分保留来形成间隔件的部分。本发明还提供具有矩形轮廓的间隔件及其制造方法。

Description

具有矩形轮廓的间隔件及其制造方法
本申请是于2014年12月05日提交的申请号为201410738338.7的题为“具有矩形轮廓的间隔件及其制造方法”的中国发明专利申请的分案申请。
技术领域
本发明涉及半导体领域,尤其涉及具有矩形轮廓的间隔件及其制造方法。
背景技术
间隔件为制造集成电路中使用的共同部件,并用在包括晶体管的形成的前段制程工艺中以及用在包括连接晶体管的金属连接件的形成的后段制程工艺中。例如,晶体管通常具有位于晶体管的栅电极上的栅极间隔件。栅极间隔件将栅电极与其它导电部件电隔离。此外,栅极间隔件被用以限定晶体管的源极区域的位置和漏极区域的位置。
发明内容
一方面,本发明提供一种方法,包括:
在图案化部件的顶面和侧壁上形成间隔件层,其中,所述图案化部件在基础层的上面;
形成接触所述间隔件层的顶面和侧壁表面的保护层;
移除所述保护层的水平部分,其中,在所述移除之后保留所述保护层的垂直部分;以及
蚀刻所述间隔件层以移除所述间隔件层的水平部分,其中,所述间隔件层的垂直部分保留来形成间隔件的部分。
优选地,形成所述保护层包括执行氮化以将所述间隔件层的表面层转化成所述保护层。
优选地,形成所述保护层包括执行氧化以将所述间隔件层的表面层转化成所述保护层。
优选地,形成所述保护层包括将所述保护层沉积在所述间隔件层的上方,并且用于所述沉积的工艺气体包括CH4和N2
优选地,形成所述保护层包括将所述保护层沉积在所述间隔件层的上方,并且用于所述沉积的工艺气体包括CH2F2
优选地,所述方法进一步包括:
在蚀刻所述间隔件层之后,移除所述图案化部件;
蚀刻所述基础层以在所述基础层中形成沟道,其中,所述间隔件被用作蚀刻掩模;以及
填充与所述基础层的材料不同的材料来在所述沟道中形成部件。
优选地,所述图案化部件包括栅极电介质和位于所述栅极电介质上方的栅电极,并且所述基础层包括半导体衬底。
第二方面,本发明提供一种方法,包括:
在图案化部件的顶面和侧壁上形成间隔件层,其中,所述图案化部件在基础层的上面;
使所述间隔件层的表面层与工艺气体起反应以产生保护层,其中,所述间隔件层的底层保持不与所述工艺气体反应;
采用第一蚀刻气体移除所述保护层的水平部分,其中,在所述移除之后保留所述保护层的垂直部分;以及
采用与所述第一蚀刻气体不同的第二蚀刻气体来蚀刻所述间隔件层以移除所述间隔件层的水平部分,其中,所述间隔件层的垂直部分保留以形成所述间隔件的部分。
优选地,在蚀刻所述间隔件层之后,所述保护层的垂直部分保留来与所述间隔件层的所述垂直部分形成所述间隔件。
优选地,使所述间隔件层的所述表面层与所述工艺气体起反应包括在含氧工艺气体中氧化所述间隔件层的所述表面层,并且所述保护层包括所述间隔件层的氧化物。
优选地,使所述间隔件层的所述表面层与所述工艺气体起反应包括在含氮工艺气体中氮化所述间隔件层的所述表面层,并且所述保护层包括所述间隔件层的氮化物。
优选地,在移除所述保护层的所述水平部分期间,所述保护层的第一蚀刻率高于所述间隔件层的第二蚀刻率,且在蚀刻所述间隔件层期间,所述保护层的第三蚀刻率低于所述间隔件层的第四蚀刻率。
优选地,移除所述保护层的所述水平部分和蚀刻所述间隔件层都包括各向异性蚀刻。
优选地,根据本发明的第二方面的所述方法进一步包括:
在蚀刻所述间隔件层之后,移除所述图案化部件;
蚀刻所述基础层以在所述基础层中形成沟道,其中,所述间隔件被用作蚀刻掩模;以及
填充与所述基础层的材料不同的材料来在所述沟道中形成部件。
再一方面,本发明提供一种器件,包括:
半导体衬底;
位于所述半导体衬底上方的栅极堆叠件;
位于所述栅极堆叠件的侧壁上的栅极间隔件,其中,所述栅极堆叠件包括:
具有接触所述栅极堆叠件的侧壁的内侧壁的内侧部分;以及
外侧部分,所述外侧部分包括:
接触所述内侧部分的外缘的内缘,其中,所述内侧部分和所述外侧部分包括不同材料,并且所述外侧部分具有基本一致的厚度;以及
位于所述半导体衬底的上方并与所述半导体衬底间隔开的底面;以及
邻近所述栅极间隔件的源极/漏极区域。
优选地,所述内侧部分具有接触所述半导体衬底的顶面的底面,并且所述外侧部分的所述底面高于所述内侧部分的所述底面。
优选地,所述栅极间隔件的所述内侧部分包括氧化物,且所述栅极间隔件的所述外侧部分除包括所述氧化物外还包括氮化物。
优选地,所述栅极间隔件的所述内侧部分包括氮化物,且所述栅极间隔件的所述外侧部分除包括所述氮化物外还包括氧化物。
优选地,所述栅极间隔件的所述外侧部分包括含有碳和氮的聚合物。
优选地,所述栅极间隔件的所述外侧部分包括含有碳和氟的聚合物。
附图说明
为更完整地理解本实施例及其优势,现参照以下结合附图的描述,其中:
图1至图8为制造根据一些示例性实施例的集成电路结构的中间阶段的横截面图,其中,形成了具有矩形轮廓的间隔件;以及
图9示出了根据一些示例性实施例的晶体管的横截面图,其中,该晶体管包括具有矩形横截面形状的栅极间隔件。
具体实施方式
下面详细讨论本发明的实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的构思。所讨论的具体实施例为示意性的,而非限制本发明的范围。
根据各个示例性实施例提供了具有矩形间隔件的集成电路结构及其形成方法。示出了形成集成电路结构的中间阶段。讨论了实施例的变型。整个各个视图和示意性实施例中,相同参考标号被用以表示相同元件。
图1示出了晶圆100的一部分,其包括基础层20和位于基础层20上方的图案化部件24。在一些示例性实施例中,基础层20为介电层。例如,基础层20可包括具有低于约3.0的k值的低k介电材料,低k介电材料可包括碳并可在其中具有孔隙。在这些实施例中,基础层20可置于半导体衬底25上方,半导体衬底25可为硅衬底、碳化硅衬底、Ⅲ-Ⅴ族化合物半导体衬底等。集成电路器件27(诸如晶体管)可在半导体衬底25的顶面处形成。图案化部件24可在这些实施例中为介电图案。例如,图案化部件24可由氧化物、氮化物等形成。可选地,图案化部件24由非晶硅形成。图案化部件24的形成可包括在基础层20上方形成覆盖层,然后图案化该覆盖层。图案化部件24可具有基本垂直的侧壁。
在替代性实施例中,基础层20为半导体衬底,其可为硅衬底、碳化硅衬底、Ⅲ-Ⅴ族化合物半导体衬底等。在这些实施例中,图案化部件24可为晶体管的栅极堆叠件。例如,图案化部件24可包括栅极电介质26和位于栅极电介质26上方的栅电极28。
参照图2,间隔件层30在图案化部件24的顶面和侧壁上形成。在一些实施例中,间隔件层30由介电材料或金属间隔件形成,其可为氧化物(诸如氧化硅和氧化钛)、氮化物(诸如氮化硅和氮化钛)或其它介电材料。间隔件层30可具有均匀结构,并因此从顶部至底部的整个间隔件层30由相同材料形成。间隔件层30可采用配置成形成共形层的沉积方法形成,这种沉积方法可从原子层沉积(ALD)、化学气相沉积(CVD)、金属有机化学气相沉积(MOCVD)等中进行选择。由此产生的间隔件层30因此可为共形的。例如,垂直部分的厚度T1接近水平部分的厚度T2,其中,T1和T2之间的差值的绝对值小于T1和T2的约25%。在一些示例性实施例中,厚度T1和T2在约5nm与约500nm之间的范围中。然而,可以想到,整个说明书中所列举的数值仅仅是实例,且可改变为不同值。
图3示出了保护层32的形成,其在间隔件层30的垂直部分和水平部分上形成覆盖层。保护层32包括与间隔件层30的材料不同的材料。例如,在间隔件层30为氧化层的实施例中,保护层可为含氮层,且可进一步包括氧或可不含氧。在间隔件层30为氮化层的实施例中,保护层可为含氧层,且可进一步包括氮或可不含氮。保护层32与位于下方的氧化层30之间的差异是足够明显的,以便在随后的蚀刻工艺中,能够选择合适的蚀刻剂来侵袭保护层32和位于下方的氧化层30中的一种而非另一种。
保护层32成形为共形层,以便保护层32的垂直部分和水平部分具有相似的厚度。在一些实施例中,保护层32的厚度T3在约
Figure BDA0002172774350000051
和约
Figure BDA0002172774350000052
之间的范围中,然而可采用更大或更小的厚度。
在一些示例性实施例中,保护层32在提供工艺气体的工艺室或熔炉中形成。工艺气体可从氮气(N2)、氧气(O2)、CH4和氮气(N2)的组合气体、CH2F2等中进行选择,这取决于间隔件层30的材料和保护层32的期望材料。
保护层32的形成可包括使间隔件层30的表面层与工艺气体起反应,以便该表面层被转化成保护层32。例如,当间隔件层30包括诸如氧化硅的氧化物(其可不含氮)时,诸如N2的含氮气体可被用以执行氮化以将间隔件层30的表面层转化成含氮层。由此产生的保护层32包括间隔件层30的材料中的氮化物。例如,保护层32在一些示例性实施例中包括氮氧化硅。在这些实施例中,间隔件层30具有一定的硅-氧比值,该比值为硅原子相对于氧原子的数目的比值,相同的情况适用于保护层32中的硅-氧比值。
当间隔件层30包括诸如氮化硅的氮化物(其可不含氧)时,诸如O2的含氧气体可被用以执行氧化以将间隔件层30的表面层转化成氧化物。由此产生的保护层32包括间隔件层30的材料中的氧化物。例如,保护层32在一些示例性实施例中包括氮氧化硅。在这些实施例中,间隔件层30具有一定的硅-氮比值,该比值为硅原子相对于氮原子的数目的比值,相同的情况适用于保护层32中的硅-氮比值。在保护层32通过反应形成的实施例中,保护层32的厚度是均匀的,其中,垂直部分和水平部分具有相同的厚度T3。
保护层32的形成可通过沉积工艺实现。例如,当使用CH4和N2的组合气体时,由此产生的保护层32可为含有碳和氮(CN)的层,其沉积在图2中的间隔件层30上。含CN层可为聚合物层。在使用CH2F2作为工艺气体的一些其他示例性实施例中,由此产生的保护层32可为含有碳和氟(CF)的聚合物层,其沉积在图2中的间隔件层30上。
在示例性工艺中,在形成保护层32时,晶圆100可被加热或不加热。例如,可在温度处于约10℃和约500℃之间的范围中执行保护层32的形成。在该形成期间,可能(或可能不)接通等离子体。当接通等离子体时,功率可处于约10瓦和约2000瓦之间的范围中。不存在偏电压或者基本未施加偏电压,以便晶圆100不受到轰击。当保护层32的形成在工艺室中形成时,工艺室的压力可在约0.1毫托与约50毫托之间。工艺气体的流率可在约1标准状态毫升/分钟(sccm)和约2000标准状态毫升/分钟之间。
参照图4,在形成保护层32之后,执行各向异性蚀刻来移除保护层32的水平部分,而同时保护层32的垂直部分保持不受蚀刻。间隔件层32同样未被蚀刻。该蚀刻可为干蚀刻且能够选择蚀刻气体,以便保护层32和间隔件层30具有高蚀刻选择性(下文称作第一蚀刻选择性),其中,第一蚀刻选择性为保护层32的蚀刻率同间隔件层30的蚀刻率的比值。例如,第一蚀刻选择性可高于约4。在间隔件层30包括氧化物而保护层32包括氮化物的实施例中,蚀刻气体可包括CF4、Cl2、CH4、N2、O2、H2、CxFy或上述的组合。在间隔件层30包括氮化物而保护层32包括氧化物的实施例中,蚀刻气体也可包括CF4、Cl2、CH4、N2、O2、H2、CxFy或上述的组合。在间隔件层30包括含CN聚合物的实施例中,蚀刻气体可包括CF4、Cl2、CH4、N2、O2、H2、CxFy或上述的组合。在间隔件层30包括含CF聚合物的实施例中,蚀刻气体可包括CF4、Cl2、CH4、N2、O2、H2、CxFy或上述的组合。蚀刻选择性可通过调节所使用的化学剂的流率比值进行调整。
在蚀刻保护层32后,图案化间隔件层30。参照图5,由此产生的间隔件层30和保护层32的剩余部分此后被称作间隔件34。在一些实施例中,采用与图4中所示的蚀刻保护层32的步骤中所使用的蚀刻剂不同的蚀刻剂来执行蚀刻。该蚀刻也可为各向异性蚀刻(包括或不包括各向同性效果)。在图案化间隔件层30时,移除了间隔件层30的水平部分,而间隔件层30的垂直部分保持未受蚀刻。该蚀刻可为干蚀刻且能够选择蚀刻气体,以便保护层32和间隔件层30具有高蚀刻选择性(下文称作第二蚀刻选择性),其中,第二蚀刻选择性为间隔件层30的蚀刻率同保护层32的蚀刻率的比值。例如,第二蚀刻选择性可高于约4。在间隔件层30包括氧化物而保护层包括氮化物的示例性实施例中,蚀刻剂可包括CF4、Cl2、CH4、N2、O2、H2、CxFy或其它含碳和氟的气体。在间隔件层30包括氮化物而保护层包括氧化物的实施例中,蚀刻剂也可包括CF4、Cl2、CH4、N2、O2、H2、CxFy或其它含碳和氟的气体。在保护层32包括含CN聚合物的实施例中,蚀刻剂可包括CF4、Cl2、CH4、N2、O2、H2、CxFy。在保护层32包括含CF聚合物的实施例中,蚀刻剂可包括CF4、Cl2、CH4、N2、O2、H2、CxFy
在间隔件30的图案化期间,保护层32保护间隔件层30的侧壁部分。因此,由此产生的间隔件34的外缘基本是垂直的,且间隔件34在横截面视图中具有矩形形状。作为比较,如果未引入保护层32,则由此产生的间隔件的外侧壁将会更为弯折,其中,上部相比于下部越来越窄。矩形间隔件34从顶部至底部具有基本均匀的宽度(其中,保护层32具有的厚度远远小于间隔件层30的厚度)。
在一些实施例中,例如,当图案化部件24为芯轴时(所形成的牺牲图案用于形成间隔件34),图案化部件24被移除,剩下间隔件34。图6示出了由此产生的结构。因此,间隔件34的平均间距如同图案化部件24的间距的一半一样小。尽管使用芯轴来形成间隔件,但间隔件34的间距可被减小到小于光刻工艺所能实现的值。
图7示出了采用间隔件34作为蚀刻掩模对基础层20的蚀刻,以便所形成的凹陷36从基础层20的顶面延伸到基础层20中。在这些实施例中,基础层20可为介电层、硅衬底等。接下来,如图8中所示,由与基础层22的材料不同的材料形成的部件38成形为填充图7中的凹陷36。部件38由与基础层20的材料不同的材料形成。例如,当基础层20为半导体衬底时,部件38可为介电部件。当基础层20为诸如低k介电层的介电层时,部件38可为诸如铜线的金属线。如图8中所示,移除了图7中所示的间隔件34。
图9示出了根据可选实施例的集成电路结构的横截面图,其中,图案化部件24为包括栅极电介质26和栅电极28的栅极堆叠件。在这些实施例中,间隔件34为由此产生的晶体管40的栅极间隔件,晶体管40进一步包括位于为半导体结构的基础层20中的源极区域和漏极区域42。在由此产生的结构中,栅极间隔件34包括作为内侧部分的均匀剩余间隔件层30。保护层32形成栅极间隔件34的外侧部分,其中,每个间隔件层30具有接触栅电极28的边缘的内缘及接触保护层32的外缘。保护层32在示例性实施例中并不具有任何水平部分。
图9还示出了介电层44,其可包括接触蚀刻终止层(CESL)和位于CESL上方的层间介电层(ILD)。介电层44可由与保护层32的材料不同的材料形成。例如,介电层44可包括磷硅酸玻璃(PSG)、硼硅玻璃(BSG)、掺杂硼的磷硅酸玻璃(BPSG)、四乙基原硅酸盐(TEOS)氧化物、TiN、SiOC或其它适用的材料。保护层32的底面高于衬底20(和/或源极/漏极区域42)的顶面并与其间隔开,同时介电层44将保护层32的底面与衬底20(和/或源极/漏极区域42)间隔开。
保护层32形成位于间隔件层30与介电层44之间的垂直薄膜。从顶端至底端,保护层32的厚度可基本一致。例如,下方的保护层32的90%具有一致的厚度,该一致厚度具有小于约5%的波动。
本发明的实施例具有一些有利的特征。通过形成保护层32并移除保护层32的垂直部分,间隔件30可成形为具有垂直轮廓。例如,当将间隔件的图案向下传递至下方的层时,在间隔件具有矩形轮廓的情况下,由间隔件限定图案的下方的部件的宽度更为一致。
根据一些实施例,一种方法包括在图案化部件的顶面和侧壁上形成间隔件层,其中,该图案化部件在基础层的上面。形成保护层以接触间隔件层的顶面和侧壁表面。保护层的水平部分被移除,其中,在移除之后保留保护层的垂直部分。间隔件层被蚀刻以移除间隔件层的水平部分,其中,间隔件层的垂直部分保留来形成间隔件的部分。
根据其它实施例,一种方法包括在图案化部件的顶面和侧壁上形成间隔件层,其中,该图案化部件在基础层的上面,以及使间隔件层的表面层与工艺气体起反应来产生保护层。间隔件层的底层保持不与工艺气体反应。保护层的水平部分采用第一蚀刻气体得以移除,其中,在移除之后保留保护层的垂直部分。然后采用与第一蚀刻气体不同的第二蚀刻气体来蚀刻间隔件层以移除间隔件层的水平部分,其中,间隔件层的垂直部分保留来形成间隔件的部分。
根据另外的其它实施例,一种器件包括半导体衬底、位于半导体衬底上方的栅极堆叠件及位于栅极堆叠件的侧壁上的栅极间隔件。栅极堆叠件包括:具有接触栅极堆叠件的侧壁的内侧壁的内侧部分,及具有接触内侧部分的外缘的内缘的外侧部分。内侧部分和外侧部分包括不同材料。外侧部分具有基本一致的厚度,并具有位于半导体衬底上方并与其间隔开的底面。该器件进一步包括邻近栅极间隔件的源极/漏极区域。
尽管已经详细地描述了本发明及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变,替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该包括在这样的工艺、机器、制造、材料组分、装置、方法或步骤的范围内。此外,每条权利要求构成单独的实施例,并且多个权利要求和实施例的组合在本发明的范围内。

Claims (19)

1.一种形成半导体器件的方法,包括:
在图案化部件的第一顶面和侧壁上形成间隔件层,其中,所述图案化部件在基础层的上面,其中,所述间隔件层包括直接在所述基础层上的第一水平部分,并且所述第一水平部分覆盖所述基础层的整个顶面,所述整个顶面位于所述图案化部件和相邻的图案化部件之间;
形成接触所述间隔件层的第二顶面和侧壁表面的保护层,其中,所述保护层包括位于所述第一水平部分上方的第二水平部分;
移除所述保护层的第二水平部分,其中,在所述移除之后保留所述保护层的垂直部分;
使用所述保护层的所述保留的垂直部分作为蚀刻掩模来蚀刻所述间隔件层以移除所述间隔件层的所有水平部分,其中,所述间隔件层的所述垂直部分与所述保护层的所述保留的垂直部分保留为共同形成间隔件;
在蚀刻所述间隔件层之后,移除所述图案化部件;蚀刻所述基础层和所述保护层的所述垂直部分以在所述基础层中形成沟道,其中,所述间隔件被用作蚀刻掩模,
用金属填充所述沟道;以及
去除所述间隔件。
2.根据权利要求1所述的方法,其中,形成所述保护层包括执行氮化以将所述间隔件层的表面层转化成所述保护层。
3.根据权利要求1所述的方法,其中,形成所述保护层包括执行氧化以将所述间隔件层的表面层转化成所述保护层。
4.根据权利要求1所述的方法,其中,形成所述保护层包括将所述保护层沉积在所述间隔件层的上方,并且用于所述沉积的工艺气体包括CH4和N2
5.根据权利要求1所述的方法,其中,形成所述保护层包括将所述保护层沉积在所述间隔件层的上方,并且用于所述沉积的工艺气体包括CH2F2
6.根据权利要求1所述的方法,进一步包括:
填充与所述基础层的材料不同的材料来在所述沟道中形成部件。
7.根据权利要求1所述的方法,其中,所述图案化部件包括栅极电介质和位于所述栅极电介质上方的栅电极,并且所述基础层包括半导体衬底。
8.一种形成半导体器件的方法,包括:
在图案化部件的顶面和侧壁上形成间隔件层,其中,所述图案化部件在基础层的上面,所述间隔件层包括:
顶部部分,位于所述图案化部件的第一图案化部件和第二图案化部件的顶面上;
垂直部分,位于所述第一图案化部件和第二图案化部件的相对侧壁上;以及
第一水平部分,所述第一水平部分具有接触基础层的顶面的底面,其中,所述第一水平部分从所述第一图案化部件延伸至所述第二图案化部件;
使所述间隔件层的表面层与工艺气体起反应以产生保护层,其中,所述间隔件层的底层保持不与所述工艺气体反应;
采用第一蚀刻气体移除所述保护层的水平部分,其中,在所述移除之后保留所述保护层的垂直部分;以及
采用与所述第一蚀刻气体不同的第二蚀刻气体,使用所述保护层的垂直部分作为蚀刻掩模来蚀刻所述间隔件层以移除所述间隔件层的所述顶部部分中的所述底层的部分,其中,所述间隔件层的垂直部分的保留部分与所述保护层的垂直部分保留为共同形成掩模
在蚀刻所述间隔件层之后,移除所述第一图案化部件和第二图案化部件;以及
使用所述掩模作为蚀刻掩模来蚀刻所述基础层以在所述基础层中形成沟道;
移除所述间隔件层的所述垂直部分的所述保留部分和所述保护层的所述垂直部分。
9.根据权利要求8所述的方法,其中,使所述间隔件层的所述表面层与所述工艺气体起反应包括在含氧工艺气体中氧化所述间隔件层的所述表面层,并且所述保护层包括所述间隔件层的氧化物。
10.根据权利要求8所述的方法,其中,使所述间隔件层的所述表面层与所述工艺气体起反应包括在含氮工艺气体中氮化所述间隔件层的所述表面层,并且所述保护层包括所述间隔件层的氮化物。
11.根据权利要求8所述的方法,其中,在移除所述保护层的所述水平部分期间,所述保护层的第一蚀刻率高于所述间隔件层的第二蚀刻率,且在蚀刻所述间隔件层期间,所述保护层的第三蚀刻率低于所述间隔件层的第四蚀刻率。
12.根据权利要求8所述的方法,其中,移除所述保护层的所述水平部分和蚀刻所述间隔件层都包括各向异性蚀刻。
13.根据权利要求8所述的方法,进一步包括:
填充与所述基础层的材料不同的材料来在所述沟道中形成部件。
14.一种半导体器件,包括:
半导体衬底;
位于所述半导体衬底上方的栅极堆叠件;
位于所述栅极堆叠件的侧壁上的栅极间隔件,其中,所述栅极间隔件包括:
具有接触所述栅极堆叠件的侧壁的内侧壁的内侧部分;以及
外侧部分,所述外侧部分包括:
接触所述内侧部分的外缘的内缘,其中,所述栅极间隔件的所述内侧部分和所述外侧部分包括不同材料,并且所述外侧部分具有一致的厚度,其中,整个所述外侧部分偏离所述内侧部分;
位于所述半导体衬底的上方并与所述半导体衬底间隔开的底面;以及
与所述外侧部分的所述内缘相对的外缘;以及
邻近所述栅极间隔件的源极/漏极区域,其中,所述源极/漏极区域的内缘与所述外侧部分的外缘齐平,并且其中,在所述源极/漏极区域的所述内缘与所述栅极间隔件的内侧部分的所述外缘之间不存在源极/漏极扩展区域。
15.根据权利要求14所述的器件,其中,所述内侧部分具有接触所述半导体衬底的顶面的底面,并且所述外侧部分的所述底面高于所述内侧部分的所述底面。
16.根据权利要求14所述的器件,其中,所述栅极间隔件的所述内侧部分包括氧化物,且所述栅极间隔件的所述外侧部分除包括所述氧化物外还包括氮化物。
17.根据权利要求14所述的器件,其中,所述栅极间隔件的所述内侧部分包括氮化物,且所述栅极间隔件的所述外侧部分除包括所述氮化物外还包括氧化物。
18.根据权利要求14所述的器件,其中,所述栅极间隔件的所述外侧部分包括含有碳和氮的聚合物。
19.根据权利要求14所述的器件,其中,所述栅极间隔件的所述外侧部分包括含有碳和氟的聚合物。
CN201910768482.8A 2013-12-05 2014-12-05 具有矩形轮廓的间隔件及其制造方法 Active CN110600379B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/097,579 US9614053B2 (en) 2013-12-05 2013-12-05 Spacers with rectangular profile and methods of forming the same
US14/097,579 2013-12-05
CN201410738338.7A CN104701152A (zh) 2013-12-05 2014-12-05 具有矩形轮廓的间隔件及其制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201410738338.7A Division CN104701152A (zh) 2013-12-05 2014-12-05 具有矩形轮廓的间隔件及其制造方法

Publications (2)

Publication Number Publication Date
CN110600379A CN110600379A (zh) 2019-12-20
CN110600379B true CN110600379B (zh) 2022-11-29

Family

ID=53272018

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410738338.7A Pending CN104701152A (zh) 2013-12-05 2014-12-05 具有矩形轮廓的间隔件及其制造方法
CN201910768482.8A Active CN110600379B (zh) 2013-12-05 2014-12-05 具有矩形轮廓的间隔件及其制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410738338.7A Pending CN104701152A (zh) 2013-12-05 2014-12-05 具有矩形轮廓的间隔件及其制造方法

Country Status (3)

Country Link
US (3) US9614053B2 (zh)
KR (2) KR101882049B1 (zh)
CN (2) CN104701152A (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3025938B1 (fr) * 2014-09-17 2018-05-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'espaceurs au niveau de flancs d'une grille de transistor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9917016B2 (en) * 2014-12-11 2018-03-13 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with effective dummy gate cap removal
FR3037717B1 (fr) * 2015-06-19 2017-06-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9640409B1 (en) * 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
CN105789129B (zh) * 2016-05-11 2019-09-17 上海华虹宏力半导体制造有限公司 改善栅极侧墙形貌的方法及半导体器件制造方法
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10263080B2 (en) * 2017-05-24 2019-04-16 Qualcomm Incorporated Transistor with fluorinated graphene spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10269965B1 (en) * 2017-10-25 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Multi-gate semiconductor device and method for forming the same
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
TWI804632B (zh) 2019-06-05 2023-06-11 聯華電子股份有限公司 半導體元件及其製作方法
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
WO2021041366A1 (en) * 2019-08-30 2021-03-04 Mattson Technology, Inc. Spacer etching process
CN113782428B (zh) * 2020-06-09 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923986A (en) * 1998-09-17 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a wide upper top spacer to prevent salicide bridge
KR20040023294A (ko) * 2002-09-11 2004-03-18 삼성전자주식회사 측벽 게이트와 sonos 셀 구조를 갖는 불휘발성메모리 소자의 제조 방법
CN101006569A (zh) * 2004-08-25 2007-07-25 英特尔公司 形成突变的源漏金属栅晶体管
CN101604628A (zh) * 2008-06-11 2009-12-16 美格纳半导体有限会社 形成半导体器件的栅极的方法
CN102017081A (zh) * 2008-04-04 2011-04-13 应用材料股份有限公司 氮化硼与硼-氮化物衍生材料的沉积方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4528066A (en) * 1984-07-06 1985-07-09 Ibm Corporation Selective anisotropic reactive ion etching process for polysilicide composite structures
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US6146934A (en) * 1997-12-19 2000-11-14 Advanced Micro Devices, Inc. Semiconductor device with asymmetric PMOS source/drain implant and method of manufacture thereof
FR2815174A1 (fr) * 2000-10-06 2002-04-12 St Microelectronics Sa Transistors mos miniaturises de type ldd
US6518137B2 (en) * 2001-01-19 2003-02-11 United Microelectronics Corp. Method for forming steep spacer in a MOS device
US6512266B1 (en) * 2001-07-11 2003-01-28 International Business Machines Corporation Method of fabricating SiO2 spacers and annealing caps
US6787475B2 (en) * 2001-09-06 2004-09-07 Zhuxu Wang Flash step preparatory to dielectric etch
US6828251B2 (en) * 2002-02-15 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
TW569319B (en) 2002-06-06 2004-01-01 Winbond Electronics Corp Gate structure and method of manufacture
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US6906360B2 (en) * 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
JP4866609B2 (ja) 2003-10-23 2012-02-01 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100597768B1 (ko) * 2003-12-31 2006-07-06 동부일렉트로닉스 주식회사 반도체 소자의 게이트 스페이서형성방법
TWI251277B (en) * 2004-12-31 2006-03-11 Ind Tech Res Inst Method for forming a silicon oxide layer
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
JP4546519B2 (ja) * 2005-02-17 2010-09-15 株式会社日立国際電気 半導体デバイスの製造方法
KR100827538B1 (ko) 2006-12-28 2008-05-06 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7488659B2 (en) * 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US7696036B2 (en) 2007-06-14 2010-04-13 International Business Machines Corporation CMOS transistors with differential oxygen content high-k dielectrics
JP5446558B2 (ja) * 2009-08-04 2014-03-19 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5578952B2 (ja) * 2009-08-19 2014-08-27 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
CN102110650A (zh) * 2009-12-29 2011-06-29 中国科学院微电子研究所 一种半导体器件及其制造方法
US8399180B2 (en) * 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
FR2960657B1 (fr) * 2010-06-01 2013-02-22 Commissariat Energie Atomique Procede de lithographie a dedoublement de pas
JP5264834B2 (ja) 2010-06-29 2013-08-14 東京エレクトロン株式会社 エッチング方法及び装置、半導体装置の製造方法
US8735268B2 (en) * 2011-06-22 2014-05-27 United Microelectronics Corp. Method for fabricating metal-oxide-semiconductor field-effect transistor
US8432002B2 (en) * 2011-06-28 2013-04-30 International Business Machines Corporation Method and structure for low resistive source and drain regions in a replacement metal gate process flow
US8445345B2 (en) 2011-09-08 2013-05-21 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
CA3075143C (en) 2011-09-09 2023-03-28 Evertree Protein-containing adhesives, and manufacture and use thereof
KR101878311B1 (ko) 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US20130175610A1 (en) * 2012-01-10 2013-07-11 Globalfoundries Inc. Transistor with stress enhanced channel and methods for fabrication
US20130244437A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Inc. Methods of forming features on an integrated circuit product using a novel compound sidewall image transfer technique
US8779515B2 (en) * 2012-05-21 2014-07-15 International Business Machines Corporation Semiconductor structure containing an aluminum-containing replacement gate electrode
US8647937B2 (en) * 2012-06-26 2014-02-11 Globalfoundries Singapore Pte. Ltd. Deep depleted channel MOSFET with minimized dopant fluctuation and diffusion levels
US8735272B2 (en) * 2012-07-31 2014-05-27 GlobalFoundries, Inc. Integrated circuit having a replacement gate structure and method for fabricating the same
US8865549B2 (en) 2012-12-07 2014-10-21 Texas Instruments Incorporated Recessed channel insulated-gate field effect transistor with self-aligned gate and increased channel length
US20140252500A1 (en) 2013-03-08 2014-09-11 International Business Machines Corporation Sacrificial replacement extension layer to obtain abrupt doping profile

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923986A (en) * 1998-09-17 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a wide upper top spacer to prevent salicide bridge
KR20040023294A (ko) * 2002-09-11 2004-03-18 삼성전자주식회사 측벽 게이트와 sonos 셀 구조를 갖는 불휘발성메모리 소자의 제조 방법
CN101006569A (zh) * 2004-08-25 2007-07-25 英特尔公司 形成突变的源漏金属栅晶体管
CN102017081A (zh) * 2008-04-04 2011-04-13 应用材料股份有限公司 氮化硼与硼-氮化物衍生材料的沉积方法
CN101604628A (zh) * 2008-06-11 2009-12-16 美格纳半导体有限会社 形成半导体器件的栅极的方法

Also Published As

Publication number Publication date
KR101882049B1 (ko) 2018-08-24
US20200044044A1 (en) 2020-02-06
CN110600379A (zh) 2019-12-20
CN104701152A (zh) 2015-06-10
US10868143B2 (en) 2020-12-15
US9614053B2 (en) 2017-04-04
KR20180034377A (ko) 2018-04-04
US20170186616A1 (en) 2017-06-29
US20150162416A1 (en) 2015-06-11
KR20150065610A (ko) 2015-06-15
US10505018B2 (en) 2019-12-10
KR101991198B1 (ko) 2019-09-30

Similar Documents

Publication Publication Date Title
CN110600379B (zh) 具有矩形轮廓的间隔件及其制造方法
US9087876B2 (en) Titanium oxynitride hard mask for lithographic patterning
KR101699154B1 (ko) 반도체 디바이스에서의 대체 금속 게이트 공정을 사용한 자기 정렬 콘택 형성 방법
US10002784B2 (en) Via corner engineering in trench-first dual damascene process
TWI645506B (zh) 形成具有氣隙之半導體元件的方法
US10269912B2 (en) Metal gate structure
CN107863323B (zh) 半导体装置的形成方法
US10529617B2 (en) Metal routing with flexible space formed using self-aligned spacer patterning
US10290535B1 (en) Integrated circuit fabrication with a passivation agent
CN112563192A (zh) 半导体结构的形成方法
TW202230477A (zh) 形成電晶體及接觸插塞的方法及積體電路結構
TWI743261B (zh) 半導體裝置及其形成方法
KR20220043851A (ko) 라인 벤딩을 감소시키기 위한 금속 하드 마스크들
KR102610396B1 (ko) 로우-k 스페이서를 제공하는 방법
CN104752317B (zh) 一种半导体器件的制造方法
KR100764452B1 (ko) 반도체 소자 및 이의 제조 방법
CN106971973B (zh) 一种半导体器件及其制造方法、电子装置
JP2012079792A (ja) 半導体装置の製造方法
KR20050023982A (ko) 메탈 콘택의 형성 방법
JP2005191279A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant