CN109860019A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN109860019A
CN109860019A CN201810589182.9A CN201810589182A CN109860019A CN 109860019 A CN109860019 A CN 109860019A CN 201810589182 A CN201810589182 A CN 201810589182A CN 109860019 A CN109860019 A CN 109860019A
Authority
CN
China
Prior art keywords
layer
interface layer
interface
dielectric constant
high dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810589182.9A
Other languages
English (en)
Inventor
张志宇
张翔笔
方子韦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109860019A publication Critical patent/CN109860019A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Abstract

一种半导体装置的制造方法包含在半导体基板上形成通道区域。在通道区域上形成介面层。使用三甲基铝(TMA)处理介面层。在使用TMA处理介面层之后在介面层上形成高介电常数(高介电常数)介电质层。在高介电常数介电质层上形成栅极。使用TMA处理介面层和形成高介电常数介电质层是在同一腔室中进行。在使用TMA处理介面层之前退火介面层。退火介面层和使用TMA处理介面层是在不同的腔室中进行。

Description

半导体装置的制造方法
技术领域
本揭示涉及一种半导体装置的制造方法。
背景技术
使用有意生长的介面层(interfacial layer,IL)以便在通道区域和栅极绝缘体之间配置良好的介面,特别是使用高介电常数(高介电常数)介电质(例如二氧化铪(HfO2)、硅酸铪(HfSiO4)、二氧化锆(ZrO2)、硅酸锆(ZrSiO4)等),并以抑制金属氧化物半导体场效应晶体管(metal-oxide-semiconductor field-effect transistors,MOSFET)中通道载子迁移率的降低。
然而,当通道区域含有硅锗时,介面层的形成常常会导致介面层表面上的悬键。悬键会降低通道区域的电子迁移率。去除悬键的方法之一是在通道区域上磊晶生长覆盖层。然而附加的覆盖层会增加通道区域的厚度,并使元件的尺寸必须因此妥协。
发明内容
本揭露内容的一态样提供一种制造半导体装置的方法,包含下列步骤:形成通道区域于半导体基板上;形成介面层于通道区域上;使用三甲基铝处理介面层;在使用三甲基铝处理介面层之后,在介面层上形成一高介电常数介电质层;以及形成栅极于高介电常数介电质层上。
附图说明
当结合附图阅读时,根据以下详细描述可以最好地理解本揭露的各方面。应注意,根据业界的标准惯例,各种特征并未按比例绘制。实际上,为了清楚讨论,各种特征的尺寸可以任意增加或减小。
图1绘示根据本揭露的部分实施例中制造半导体装置的方法的流程图;
图2至图18是根据本揭露的部分实施例中在替换栅极堆叠形成制程中处于不同阶段的部分的半导体装置的横截面图;以及
图19A至图19D是根据本揭露的部分实施例中介面层处理制程中部分的半导体装置的横截面图。
具体实施方式
以下公开提供了用于实现所提供的主题的不同特征的许多不同实施例或示例。以下描述组件和布置的具体示例以简化本揭露。当然,这些仅仅是示例,并不意在限制。例如,在下面的描述中,在第二特征上方或之上形成第一特征可以包含其中第一特征和第二特征形成为直接接触的实施例,并且还可以包含其中可以在第一特征和第二特征之间形成额外特征,使得第一特征和第二特征可以不直接接触。另外,本揭露可以在各种示例中重复附图标记和/或文字。这种重复是为了简单和清楚的目的,并且本身并不指定所讨论的各种实施例和/或配置之间的关系。
此外,为了便于描述,在此可以使用例如“在...之下”、“在...下方”、“低于”、“在...之上”、“高于”等等的空间相对术语来描述一个元件或特征与如附图所示的另一个元件或特征的关系。除了附图中描绘的方向之外,空间相对术语旨在涵盖使用或操作中的装置的不同方位。此装置可以以其他方式定向(旋转90度或在其他方位)并且同样可以相应地解释这里使用的空间相关描述符号。
鳍片可以透过任何合适的方法来图案化。例如,可以使用一种或多种光刻制程(包括双重图案化或多重图案化制程)来图案化鳍片。通常,双重图案化或多重图案化制程结合了光刻和自对准制程,从而允许创建具有例如比使用单一直接光刻制程可获得的间距小的间距的图案。例如,在一个实施例中,在基板上方形成牺牲层并使用光刻制程进行图案化。使用自对准制程沿着图案化的牺牲层形成间隔物。然后移除牺牲层,接着可以使用其余的间隔物来图案化鳍片。
根据各种示例性实施例提供了一种金属氧化物半导体(metal-oxide-semiconductor,MOS)装置及其形成方法。绘示了形成金属氧化物半导体装置的中间阶段。讨论实施例的变化。在各种视图和说明性实施例中,相同的附图标记用于表示相同的元件。
参照图1,其是根据本揭露的部分实施例中制造半导体装置的方法100的流程图。此方法从操作110开始,其中在半导体基板上形成通道区域。此方法继续进行操作120,其中在通道区域上形成介面层。随后,执行操作130,使用三甲基铝(trimethyl aluminium,TMA)处理介面层。此方法继续操作140,其中在使用三甲基铝处理介面层之后在介面层上形成高介电常数介电质层。此方法继续进行操作150,其中在高介电常数介电质层上形成栅极。下面的讨论示出了可以根据图1的方法100制造的半导体装置的实施例。虽然方法100在下面被图示和描述为一系列步骤或事件,但是应该理解,所示出的这些步骤或事件的顺序不应被解释为限制意义。例如,一些步骤可以以不同的顺序发生和/或与除本文所示和/或描述的那些之外的其他步骤或事件同时发生。另外,并非所有示出的步骤都需要以实施本文描述的一个或多个方面或实施例。此外,这里描述的一个或多个步骤可以在一个或多个单独的步骤和/或阶段中执行。
图2至图12是根据一些示例性实施例中形成金属氧化物半导体装置的中间阶段的横截面图。请参考图2提供了包括半导体基板20的晶片10。硅锗(Si1-xGex)层202形成在半导体基板20上,并且x的范围在约0.15和约0.95之间。在x小于0.15的情况下,得到的硅锗层的锗含量太低因此会产生不利的影响。在部分实施例中,x可以高于0.95,并且它表示所得硅锗中GeOx的高比例。Si1-xGex层202磊晶生长在半导体基板20的表面上。锗具有比硅更高的晶格常数,因此所得到的Si1-xGex层202的晶格结构允许比半导体基板20更高的电子空穴迁移率(electron hole mobility)。浅沟槽隔离(shallow trench isolation,STI)区域(未绘示)形成在Si1-xGex层202中并用于限定金属氧化物半导体装置的主动区域(activeregions)。
请继续参考图2在Si1-xGex层202上方形成虚设栅极堆叠22。虚设栅极堆叠22包括虚设栅极介电质24和虚设栅极26。虚设栅极介电质24在一些示例性实施例中包括氧化硅。在其他实施例中,也可使用例如氮化硅、碳化硅、或其相似物等的其他材料。虚设栅极26可以包括多晶硅。在部分实施例中,虚设栅极堆叠22进一步包括在虚设栅极26上方的硬掩模28。例如,硬掩模28可以包括例如氮化硅,然而也可以使用例如碳化硅、氮氧化硅、或其相似物等的其它材料。在部分的实施例中,不形成硬掩模28。虚设栅极堆叠22在Si1-xGex层202中限定通道区域32。源极和漏极区域(以下称为源极/漏极区域)38(图3)随后形成在通道区域32的相对侧上。
请继续参考图2。例如透过将p型杂质(例如硼和/或铟)注入到Si1-xGex层202中以形成轻掺杂源极和漏极(lightly-doped source and drain,LDD)区域30。例如,当金属氧化物半导体装置是p型金属氧化物半导体(pMOS)装置时,轻掺杂源极和漏极区域30是p型区域。虚设栅极堆叠22用作注入掩模,使得轻掺杂源极和漏极区域30的边缘与虚设栅极堆叠22的边缘大致对齐。
参考图3。在虚设栅极堆叠22的侧壁上形成栅极间隔物34。在部分实施例中,每个栅极间隔物34包括氮氧化硅层和氧化硅层。在其他实施例中,栅极间隔物34包括一层或多层,每个层包括氧化硅、氮化硅、氮氧化硅和/或其他介电质材料。栅极间隔物34的形成方法包括但不限于等离子辅助化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)、低压化学气相沉积(low-pressure chemical vapor deposition,LPCVD)、次大气压化学气相沉积(sub-atmospheric chemical vapor deposition,SACVD)和其他沉积方法。
请继续参考图3。在Si1-xGex层202中形成源极/漏极区域38。在其中金属氧化物半导体装置是p型金属氧化物半导体装置的实施例中,源极/漏极区域38是p型。在部分实施例中,在Si1-xGex层202中形成源极/漏极应力源(source/drain stressors)。源极/漏极应力源形成源极/漏极区域38的至少一部分。图3绘示了其中源极/漏极区域38完全重叠各自的源极/漏极应力源。
在金属氧化物半导体装置是p型金属氧化物半导体装置的部分实施例中,源极/漏极应力源可以包括合适的掺杂剂。可以透过蚀刻Si1-xGex层202和半导体基板20以在其中形成凹陷,然后执行磊晶以在凹陷中生长源极/漏极应力源来形成源极/漏极应力源。
请参考图4。在虚设栅极堆叠22和源极/漏极区域38之上形成接触蚀刻停止层(contact etch stop layer,CESL)40。在部分实施例中,接触蚀刻停止层40包括氮化硅、碳化硅或其他介电质材料。在接触蚀刻停止层40上方形成层间介电质(interlayerdielectric,ILD)层42。层间介电质层42毯式地形成到高于虚设栅极堆叠22的顶面的高度。层间介电质层42可包括使用例如可流动化学气相沉积(lowable chemical vapordeposition,FCVD)形成的可流动氧化物。层间介电质层42也可以是使用旋转涂布形成的旋涂玻璃。例如,层间介电质层42可以包括磷硅酸盐玻璃(phospho-silicate glass,PSG)、硼硅酸盐玻璃(boro-silicate glass,BSG)、硼掺杂磷硅酸盐玻璃(boron-doped phospho-silicate glass,BPSG)、四乙氧基硅烷(tetraethyl orthosilicate,TEOS)氧化物、氮化钛(TiN)、碳氧化硅(SiOC)或其他低介电常数多孔介电质材料。
请参考图5。图5绘示使用例如化学机械平坦化(chemical mechanical polish,CMP)进行的平坦化步骤。执行化学机械平坦化以移除层间介电质层42和接触蚀刻停止层40的多余部分。移除硬掩模28顶表面上方的多余部分。因此,暴露虚设栅极堆叠22。在另外的实施例中,在化学机械平坦化期间移除硬掩模28,其中化学机械平坦化停止于虚设栅极26的顶表面上。
请参考图6。接着,移除虚设栅极堆叠22。移除虚设栅极堆叠22的结果为形成凹槽44,其中所得的结构绘示于图6中。移除虚设栅极堆叠22暴露了下面的Si1-xGex层202。
图7至图12绘示替代栅极堆叠的形成。请参考图7。在通道区域32上形成介面层52。使用介面层52以便在Si1-xGex层202和栅极绝缘体之间配置良好的介面,特别是使用高介电常数介电质(例如二氧化铪(HfO2)、硅酸铪(HfSiO4)、二氧化锆(ZrO2)、硅酸锆(ZrSiO4)等),以抑制金属氧化物半导体场效应晶体管(MOSFET)的通道载子的迁移率的降低。透过稀释的氢氟酸、标准清洁1(Standard Clean 1,SC1)和标准清洁2(Standard Clean 1,SC2)、等离子氧化、臭氧化去离子水处理、快速热氧化(rapid thermal oxidation,RTO)、或其类似物等制备的化学氧化物可在替代栅极堆叠时用于形成介面层52。例如,臭氧化的氧化物可以透过以气相或预先溶解在去离子(de-ionized,DI)水中的高臭氧气体生长。在部分实施例中,介面层52与通道区域32接触。
在Si1-xGex层202氧化之后,在通道区域32的表面上形成介面层52的薄膜。介面层52包括氧化硅(SiOy,其中y大于0)和氧化锗(GeOy,其中y大于0的)。由氧化处理产生的介面层52中的氧化锗的比例高度依赖于半导体基板20上的Si1-xGex层202中的锗含量。Si1-xGex层202中锗的含量越高,则介面层52中氧化锗的含量也越高。Si1-xGex层202中锗的含量越低,则介面层52中氧化锗的含量也越低。氧化锗对于形成在Si1-xGex通道区域上的介面层的品质是有害的。带电的介面状态的增加证明了对通道区域的危害。对通道区域的危害也可由随着介面层中氧化锗的含量的增加而导致的迁移率降低来证明。因此,本揭露描述了用于从介面层52清除或去除氧化锗的方法,其包括形成在Si1-xGex层202上的氧化锗和氧化硅。在部分实施例中,氧化锗实质上从介面层52中移除,而留下氧化硅。在其他实施例中,在清除步骤之后,残留的氧化锗例如小于5%。
参考图8。下面描述透过清除步骤从介面层52除去氧化锗。未标号的箭头表示对晶片10的热退火处理。清除步骤的第一阶段透过在约500℃至约900℃的温度下加热晶片10约1分钟来进行。如果加热温度低于500℃,则不能从介面层52完全除去氧化锗。如果加热温度高于900℃,则可能发生源极和漏极劣化或介面粗糙。此热退火在约1托(Torr)至约760托的气压中进行。热退火处理在基本上无氧的条件且具有例如氮气(N2)的惰性气体的情况下进行以防止氧化。清除步骤是有效的,因为氧化锗中的锗-氧键比氧化硅中的硅-氧键和Si1- xGex层202中的硅-锗键弱得多。因此,氧化锗容易被除去,留下氧化硅保留在位于Si1-xGex层202上的介面层52a内。清除步骤的第一阶段在晶片10转移到原子层沉积(atomic layerdeposition,ALD)反应室之前去除介面层52中大部分的氧化锗。
请参考图9。从介面层52a中去除氧化锗持续到第二阶段。未标号的箭头显示了对晶片10原位的三甲基铝(TMA)预处理。在基本上无氧的条件下进行三甲基铝预处理。在氧化锗清除步骤的第一阶段之后,将晶片10转移到原子层沉积反应室(未绘示),以准备沉积高介电常数介电质层。在沉积高介电常数介电质层之前,晶片10在原子层沉积反应室中经历三甲基铝预处理。三甲基铝是强还原剂,并且提供三甲基铝的前驱物约30秒。三甲基铝预处理在约150℃至约300℃的温度下进行。这种三甲基铝预处理是在透过原子层沉积沉积高介电常数介电质层之前的连续制程,并且三甲基铝预处理的反应条件类似于高介电常数介电质层沉积的原子层沉积的反应条件。三甲基铝前驱物的流量在约200每分钟标准毫升数(standard cubic centimeter per minute,sccm)至约600sccm的范围内。低于200sccm的流量可能导致氧化锗的不完全去除。根据流量选择气压。在部分实施例中,在三甲基铝预处理期间的气压在约1托至约25托的范围内。接着,透过三甲基铝预处理去除介面层52a中剩余的氧化锗。在部分实施例中,在不同的腔室中进行热退火处理和三甲基铝预处理。
请参考图19A至图19D,其绘示氧化锗清除步骤的示意图。如图19A所示,形成硅锗(Si1-xGex)层202。透过图19B所示的氧化步骤,在Si1-xGex层202上形成介面层52。介面层52包括氧化硅和氧化锗,并且氧化硅和氧化锗的含量取决于Si1-xGex层202的硅锗比率。如图19C所示,未标号的箭头表示清除氧化锗的第一阶段,其中热退火处理中断锗和氧的键结,以将氧化锗从介面层52中移除。氧化硅仍为介面层52a的成分。如图19D所示,接着进行三甲基铝预处理以从介面层52a去除剩余的氧化锗。三甲基铝预处理是在高介电常数介电质层原子层沉积制程中原位进行的。不需要将晶片10移动到不同的腔室以进行三甲基铝预处理,这将简化了制造过程。介面层52的厚度保持相对地不变。
请参考图10。在部分实施例中,在沉积高介电常数介电质层之前,执行原位氮化处理。氮化处理在原子层沉积反应室中进行。未编号的箭头显示氮化过程。透过包括热退火和三甲基铝预处理的两阶段清除步骤除去氧化锗。透过用含氮试剂进行氮化处理,将介面层52b的剩余氧化硅转化为氮氧化硅(SiOaNb),其中a和b皆大于0。氮化处理包括例如在等离子辅助原子层沉积(plasma enhanced atomic layer deposition,PEALD)的氨(NH3)等离子中约5秒至30秒,在等离子辅助原子层沉积的氮(N2)等离子中约5秒至30秒,或者在氨(NH3)气体在约300℃至500℃的等离子辅助原子层沉积中退火约1分钟。如果等离子处理的持续时间短于5秒,则氮化效果可能不足,导致后续制程中形成氧化锗。如果等离子处理的持续时间长于30秒,则等离子的强度可能会损坏介面层52b。如果氨(NH3)气体退火的温度低于300℃,则可能不会在介面层52b上发生氮化,并且此持续时间将允许足够的氮氧化硅的形成。此氮化处理进一步防止Si1-xGex层202的锗向外扩散。然后,介面层52c便是含氮层,例如是覆盖Si1-xGex层202的通道区域32的氮氧化硅层。
在部分实施例中,氮化处理可以延伸到介面层52c与Si1-xGex层202之间的介面。这防止了Si1-xGex层202的锗向外扩散。这也避免了介面层52c具有未处理的部分。此未处理部分会增加栅极堆叠的等效氧化物厚度(effective oxide thickness,EOT),导致装置的栅极控制能力较低。在部分实施例中,氮化的介面层52c在其中包含氮,并且氮化的介面层52c的厚度在约5埃至约10埃的范围内。如果氮化的介面层52c的厚度小于约5埃,则氮化的介面层52c可能不够厚以防止Si1-xGex层202的锗向外扩散,导致在随后的制程中形成氧化锗。另一方面,如果氮化介面层52c大于约10埃,则栅极堆叠的等效氧化物厚度可能太厚,导致装置的栅极控制能力较低。
在部分实施例中,在氮化处理期间,半导体基板20的温度在约300℃至约1000℃的范围内。如果在氮化处理期间半导体基板20的温度低于约300℃,则氮化效果可能不足,导致在后续制程中形成氧化锗。如果半导体基板20的温度大于约1000℃,则氮化处理可能会影响下面的Si1-xGex层202,导致栅极堆叠的等效氧化物厚度增加,这将导致装置的栅极控制能力较低。
在部分实施例中,氮化处理的等离子功率在约50瓦(w)至约650瓦的范围内。如果氮化处理的等离子功率低于约50瓦,则氮化效果可能不足,导致在后续制程中形成氧化锗。如果氮化处理的等离子功率大于约650瓦,则氮化处理可能影响下面的Si1-xGex层202,导致栅极堆叠的等效氧化物厚度增加,这将导致装置的栅极控制能力较低。
请参考图11。形成高介电常数介电质层54。高介电常数介电质层54包括例如氧化铪、氧化镧、氧化铝等的高介电常数介电质材料。高介电常数介电质材料的介电常数(k值)高于3.9,并且可能高于约7,有时高达21或更高。高介电常数介电质层54覆盖介面层52c。在原子层沉积反应室中形成高介电常数介电质层54。功函数金属层62形成在高介电常数介电质层54上。根据部分实施例,功函数金属层62可以包括钛铝(TiAl)。在部分实施例中,在功函数金属层62和高介电常数介电质层54之间插入隔离层(未绘示)。隔离层可以包括氮化钛(TiN)、氮化钽(TaN)或其复合物。例如,隔离层可以包括氮化钛层(隔离层的下部)和在氮化钛层上的氮化钽层(隔离层的上部)。
请继续参考图11。在部分实施例中,随后形成的金属层可以包括阻挡层(未绘示)、润湿层(未绘示)和金属栅极64。阻挡层可以包括氮化钛,并且润湿层可以是钴层。金属栅极64可以包括钨、钨合金、铝、铝合金等。
参考图12,绘示平坦化步骤。平坦化步骤可以是例如使用化学机械平坦化以去除位于层间介电质层42上方的多余的高介电常数介电质层54、功函数金属层62和金属栅极64。介面层52c、高介电常数介电质层54、功函数金属层62和金属栅极形成替代栅极堆叠72。
替代栅极堆叠72具有位于高介电常数介电质层54和Si1-xGex层202之间的含氮介面层52c。介面层52c经历热退火和三甲基铝预处理并且进一步进行氮化处理。这些制程确保氧化锗从介面层52c中脱附,并且因此在介面层52c和Si1-xGex层202之间的介面处保持较低的介面能态密度(interface state density,Dit)。较低的介面能态密度较不可能使开关切换曲线变平坦并允许在通道区域具有较高的电子迁移率。可以省略处理Si1-xGex层202表面的磊晶制程,因为一系列介面层处理已使其上的悬键最小化。如果在通道区域上不添加磊晶盖,则可以实现通道体的缩小,特别是在例如超薄体绝缘体上硅锗(SiliconGermanium on Insulator,SiGe-OI)场效应晶体管(Field Effect Transistor,FET)、鳍式场效应晶体管(FinFET)、纳米线场效应晶体管等的装置中。
图13至图15绘示在部分实施例中替代栅极堆叠的形成。请参考图13,图13绘示高介电常数钝化层82的形成。在如图2至图6所示的移除虚设栅极堆叠22并且形成凹槽44之后,介面层52经过一系列处理,包括热退火和原位三甲基铝预处理,如图7至图9所示。当在高介电常数介电质层沉积之前并在将三甲基铝前驱物引入反应室时,晶片10位于原子层沉积反应室中。在退火和三甲基铝预处理之后,氧化锗已从介面层52b中去除,留下氧化硅作为介面层52b中的关键组分。在部分实施例中,在此过程中省略介面层的氮化。或者,在经过热退火和三甲基铝预处理的介面层52b上形成高介电常数钝化层82。
在同一原子层沉积反应室中,在高介电常数介电质层沉积之前,透过原子层沉积形成高介电常数钝化层82。高介电常数钝化层82与替代栅极凹槽44一致,其中栅极间隔物34的侧壁和介面层52b的顶表面因此被覆盖。高介电常数钝化层82与介面层52b反应。因此,高介电常数钝化层82在其底部包括例如高介电常数硅酸盐、锗酸盐或其组合。随着与介面层52b的距离的增加,高介电常数钝化层82中的高介电常数硅酸盐或锗酸盐的浓度减小。高介电常数钝化层82中的高介电常数材料的实例可以是氧化铝(Al2O3)、氧化镧(La2O3)、氧化钇(Y3O3)或其组合。此高介电常数钝化层82可防止Si1-xGex层202的锗向外扩散。高介电常数钝化层82的厚度可以在约5埃和10埃之间的范围内。
在部分实施例中,高介电常数钝化层82的厚度在从大约5埃到大约10埃的范围内。如果高介电常数钝化层82的厚度小于约5埃,则高介电常数钝化层82可能不够厚以防止Si1-xGex层202的锗向外扩散,导致在随后的过程中形成氧化锗。如果高介电常数钝化层82的厚度大于约10埃,则栅极堆叠的等效氧化物厚度(EOT)可能太厚,导致装置的栅极控制能力较低。
请参考图14。高介电常数介电质层54形成在高介电常数钝化层82上。高介电常数介电质层54包括高介电常数介电质材料,例如氧化铪、氧化镧、氧化铝或其相似物等。在原子层沉积反应室中执行高介电常数介电质层54的形成。高介电常数钝化层82插入在高介电常数介电质层54和介面层52b之间。与图11中所示的实施例不同,由于插入高介电常数钝化层82,因此高介电常数介电质层54与介面层52b间隔开。形成功函数金属层62于高介电常数介电质层54上。根据部分实施例,功函数金属层62可以包括钛铝(TiAl)。
请继续参考图14。在部分实施例中,随后形成的金属层可以包括阻挡层(未绘示)、润湿层(未绘示)和金属栅极64。阻挡层可以包括氮化钛(TiN),并且润湿层可以是钴层。金属栅极64可以包括钨、钨合金、铝、铝合金,或其相似物等。
请参考图15,其绘示平坦化步骤。平坦化步骤可以例如是使用化学机械平坦化以移除位于层间介电质层42上的高介电常数钝化层82、高介电常数介电质层54、功函数金属层62和金属栅极64的多余部分。介面层52b、高介电常数钝化层82、高介电常数介电质层54、功函数金属层62和金属栅极64形成替代栅极堆叠92。
替代栅极堆叠92具有介于介面层52b和高介电常数介电质层54之间的高介电常数钝化层82。介面层52b经历热退火和三甲基铝预处理以去除其上的悬键,并且高介电常数钝化层82防止锗从Si1-xGex层202向外扩散。这些制程确保无锗氧化物的介面层52b,并且来自Si1-xGex层202的锗被限制在其内。较低的介面能态密度(Dit)因此可以保持在介面层52b和Si1-xGex层202之间的介面处。较低的介面能态密度(Dit)较不可能使开关切换曲线变平坦并且允许在通道区域处具有较高的电子迁移率。即使在通道区域上没有添加磊晶盖,氧化锗已被去除,并且剩余的自由锗也不会扩散出Si1-xGex层202。
请参考图16。在部分实施例中,在进行原位氮化处理(参见图10)之后,高介电常数钝化层82形成在是氧氮化硅层的介面层52c上。在原子层沉积反应室中进行高介电常数钝化层82的形成。介面层52c覆盖通道区域32,并且高介电常数钝化层82覆盖介面层52c。高介电常数钝化层82与替换栅极凹槽44一致,其中栅极间隔物34的侧壁和介面层52c的顶表面因此被覆盖。高介电常数钝化层82与介面层52c反应。因此,高介电常数钝化层82在其覆盖介面层52c的底部中包含例如高介电常数硅酸盐、锗酸盐或其组合。随着与介面层52c的距离的增加,高介电常数钝化层82中的高介电常数硅酸盐或锗酸盐的浓度降低。高介电常数钝化层82中的高介电常数材料的实例可以是氧化铝(Al2O3)、氧化镧(La2O3)、氧化钇(Y3O3)或其组合。此高介电常数钝化层82防止Si1-xGex层202的锗向外扩散。高介电常数钝化层82的厚度可以在约5埃和10埃之间的范围内。
请参考图17。形成高介电常数介电质层54。高介电常数介电质层54包括例如氧化铪、氧化镧、氧化铝或其相似物等的高介电常数介电质材料。在原子层沉积反应室中执行高介电常数介电质层54的形成。高介电常数介电质材料的介电常数(k值)高于3.9,并且可能高于约7,有时高达21或更高。高介电常数介电质层54覆盖高介电常数钝化层82。功函数金属层62形成在高介电常数介电质层54上。根据部分实施例,功函数金属层62可以包括钛铝(TiAl)。在部分实施例中,在功函数金属层62和高介电常数介电质层54之间插入隔离层(未绘示)。隔离层可以包括氮化钛(TiN)、氮化钽(TaN)或其复合物。例如,例如,隔离层可以包括氮化钛层(隔离层的下部)和在氮化钛层上的氮化钽层(隔离层的上部)。
请参考图17。在部分实施例中,随后形成的金属层可以包括阻挡层(未绘示)、润湿层(未绘示)和金属栅极64。阻挡层可以包括氮化钛(TiN),并且润湿层可以是钴层。金属栅极64可以包括钨、钨合金、铝、铝合金,或其相似物。
请参考图18,此图绘示平坦化步骤。平坦化步骤可以是例如化学机械平坦化,以用于移除位于层间介电质层42上的高介电常数钝化层82、高介电常数介电质层54、功函数金属层62和金属栅极64的多余部分。介面层52c、高介电常数钝化层82、高介电常数介电质层54、功函数金属层62和金属栅极64形成替代栅极堆叠92。
替代栅极堆叠92具有含氮介面层52c和介于介面层52c和高介电常数介电质层54之间的高介电常数钝化层82。介面层52c经历热退火和三甲基铝预处理,以便去除其上的悬键。介面层52c防止锗从Si1-xGex层202向外扩散,并且高介电常数钝化层82是防止锗向外扩散的第二阻挡层。由于介面层52c和高介电常数钝化层82,剩余的锗牢固地固定在Si1-xGex层202中。因此可以在介面层52c和Si1-xGex层202之间的介面处保持较低的介面能态密度(Dit)。较低的介面能态密度(Dit)较不可能使开关切换曲线变平坦并且允许在通道区域32处具有较高的电子迁移率。
在介面层形成之后,首先对介面层进行退火以除去氧化锗。随后,进行涉及在介面层上使用三甲基铝前驱物的三甲基铝预处理。三甲基铝预处理进一步从介面层中去除剩余的氧化锗。接着,介面层可以经历氮化以形成氮氧化硅层。或者,可以在介面层上形成高介电常数钝化层。氮化过程或高介电常数钝化层防止锗从Si1-xGex层向外扩散。由于去除了氧化锗并且阻碍了锗外扩散,因此可以在介面层和Si1-xGex层之间的介面处实现较低的介面能态密度(Dit),因而使通道区具有更高的电子迁移率。
在部分实施例中,一种方法包括在半导体基板上形成通道区域。在通道区域上形成介面层。使用三甲基铝(TMA)处理介面层。在使用三甲基铝处理介面层之后在介面层上形成高介电常数介电质层。在高介电常数介电质层上形成栅极。
在部分实施例中,使用三甲基铝处理介面层和形成高介电常数介电质层是在同一腔室中进行。
在一些实施方案中,此方法还包含在使用三甲基铝处理介面层之前退火介面层。
在部分实施方案中,退火介面层和使用三甲基铝处理介面层是在不同的腔室中进行。
在部分实施例中,此方法还包含在形成高介电常数介电质层之前用含氮试剂处理介面层。
在部分实施例中,用含氮试剂处理介面层是在使用三甲基铝处理介面层之后进行的。
在部分实施例中,此方法还包含在形成高介电常数介电质层之前在介面层上形成高介电常数钝化层,其中高介电常数钝化层包括高介电常数硅酸盐、高介电常数锗酸盐,或其组合。
在部分实施例中,高介电常数钝化层包括氧化铝(Al2O3)、氧化镧(La2O3)、氧化钇(Y3O3)或其组合。
在部分实施例中,在使用三甲基铝处理介面层之后形成高介电常数钝化层。
在部分实施例中,通道区域包括硅锗。
在一些实施方式中,使用三甲基铝处理介面层是在基本上无氧的条件下进行。
在部分实施例中,一种方法包括在半导体基板上形成通道区域。在通道区域上形成介面层。高介电常数钝化层形成在介面层上。高介电常数钝化层包括高介电常数硅酸盐、高介电常数锗酸盐或其组合。高介电常数介电质层形成在高介电常数钝化层上。在高介电常数介电质层上形成栅极。
在部分实施例中,此方法还包含在形成高介电常数钝化层之前在介面层上执行氮化制程。
在部分实施例中,氮化制程包括氨(NH3)等离子处理、氮(N2)等离子处理、氨(NH3)气退火或其组合。
在部分实施例中,此方法还包含在形成高介电常数钝化层之前退火介面层。
在部分实施例中,介面层的退火是在基本上无氧的条件下进行。
在部分实施例中,装置具有半导体基板,此半导体基板包括通道区域、在通道区域上的介面层、以及在介面层上的高介电常数钝化层。高介电常数钝化层包括高介电常数硅酸盐、高介电常数锗酸盐或其组合。高介电常数介电质层设置在高介电常数钝化层上。金属栅极设置在高介电常数介电质层上。
在部分实施例中,介面层包括氮氧化硅。
在部分实施例中,高介电常数钝化层包括氧化铝(Al2O3)、氧化镧(La2O3)、氧化钇(Y3O3)或其组合。
在部分实施例中,介面层与通道区域接触。
以上概述了若干实施例的特征,以便本领域技术人员可以更好地理解本揭露的各方面。本领域的技术人员应该理解,他们可以容易地使用本揭露作为用于设计或修改用于实现相同目的和/或实现本文介绍的实施例的相同优点的其他过程和结构的基础。本领域技术人员还应该认识到,这样的等同构造不脱离本揭露的精神和范围,并且可以在不脱离本揭露的精神和范围的情况下进行各种改变、替换和变更。

Claims (1)

1.一种半导体装置的制造方法,其特征在于,包含:
形成一通道区域于一半导体基板上;
形成一介面层于该通道区域上;
使用三甲基铝处理该介面层;
在使用三甲基铝处理该介面层之后,在该介面层上形成一高介电常数介电质层;以及
形成一栅极于该高介电常数介电质层上。
CN201810589182.9A 2017-11-30 2018-06-08 半导体装置的制造方法 Pending CN109860019A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762593004P 2017-11-30 2017-11-30
US62/593,004 2017-11-30
US15/919,070 US10629749B2 (en) 2017-11-30 2018-03-12 Method of treating interfacial layer on silicon germanium
US15/919,070 2018-03-12

Publications (1)

Publication Number Publication Date
CN109860019A true CN109860019A (zh) 2019-06-07

Family

ID=66633466

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810589182.9A Pending CN109860019A (zh) 2017-11-30 2018-06-08 半导体装置的制造方法

Country Status (3)

Country Link
US (4) US10629749B2 (zh)
CN (1) CN109860019A (zh)
TW (1) TW201926461A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110993567A (zh) * 2019-12-09 2020-04-10 中国科学院微电子研究所 一种半导体结构及其形成方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629749B2 (en) * 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10971362B2 (en) * 2019-02-27 2021-04-06 International Business Machines Corporation Extreme ultraviolet patterning process with resist hardening
US20200403081A1 (en) * 2019-06-19 2020-12-24 Seung Hoon Sung Recessed gate oxide on the sidewall of gate trench
US20210408239A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors
US20220285513A1 (en) * 2021-03-05 2022-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact with low-k contact etch stop layer and method of fabricating thereof
US20220328647A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices with Air Gaps and the Method Thereof

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US212902A (en) * 1879-03-04 Improvement in molds for casting steel
US154108A (en) * 1874-08-11 Improvement in elevators
US7115461B2 (en) * 1997-07-24 2006-10-03 Texas Instruments Incorporated High permittivity silicate gate dielectric
US6559007B1 (en) * 2000-04-06 2003-05-06 Micron Technology, Inc. Method for forming flash memory device having a tunnel dielectric comprising nitrided oxide
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US7371633B2 (en) * 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
CN1599961A (zh) * 2001-11-30 2005-03-23 松下电器产业株式会社 半导体装置及其制造方法
US6586349B1 (en) * 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6764898B1 (en) * 2002-05-16 2004-07-20 Advanced Micro Devices, Inc. Implantation into high-K dielectric material after gate etch to facilitate removal
JP4643884B2 (ja) * 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
KR100482372B1 (ko) * 2002-12-03 2005-04-14 삼성전자주식회사 반도체 소자의 게이트 산화막 형성방법
US7015088B2 (en) * 2002-12-31 2006-03-21 Texas Instruments Incorporated High-K gate dielectric defect gettering using dopants
JP4887604B2 (ja) * 2003-08-29 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20050224897A1 (en) * 2004-03-26 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics
KR100597642B1 (ko) * 2004-07-30 2006-07-05 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
JP4938262B2 (ja) * 2004-08-25 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7682988B2 (en) * 2004-08-31 2010-03-23 Texas Instruments Incorporated Thermal treatment of nitrided oxide to improve negative bias thermal instability
US20060043479A1 (en) 2004-09-02 2006-03-02 Patrice Parris Metal oxide semiconductor device including a shielding structure for low gate-drain capacitance
US7242055B2 (en) * 2004-11-15 2007-07-10 International Business Machines Corporation Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
US7355235B2 (en) * 2004-12-22 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for high-k gate dielectrics
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7612403B2 (en) * 2005-05-17 2009-11-03 Micron Technology, Inc. Low power non-volatile memory and gate stack
US7655994B2 (en) * 2005-10-26 2010-02-02 International Business Machines Corporation Low threshold voltage semiconductor device with dual threshold voltage control means
US7435640B2 (en) * 2005-11-08 2008-10-14 United Microelectronics Corp. Method of fabricating gate structure
US7425497B2 (en) * 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
WO2007116470A1 (ja) * 2006-03-31 2007-10-18 Fujitsu Limited 半導体装置及びその製造方法
TWI435376B (zh) * 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors
US7897480B2 (en) * 2007-04-23 2011-03-01 International Business Machines Corporation Preparation of high quality strained-semiconductor directly-on-insulator substrates
US20130198321A1 (en) * 2012-01-31 2013-08-01 Paul W. Martin Content associated with primary content
US20100000520A1 (en) * 2007-07-26 2010-01-07 Vachon Christian Perforated transparent glazing for heat recovery and solar air heating
JP2009164424A (ja) * 2008-01-08 2009-07-23 Toshiba Corp 半導体装置およびその製造方法
US20100052077A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High-k metal gate structure including buffer layer
US9711373B2 (en) * 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US10307862B2 (en) * 2009-03-27 2019-06-04 Electro Scientific Industries, Inc Laser micromachining with tailored bursts of short laser pulses
JP2010283040A (ja) * 2009-06-02 2010-12-16 Panasonic Corp 半導体装置及びその製造方法
JP5442332B2 (ja) * 2009-06-26 2014-03-12 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8716095B2 (en) * 2010-06-03 2014-05-06 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
JP5557632B2 (ja) * 2010-07-14 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8962417B2 (en) * 2010-10-15 2015-02-24 International Business Machines Corporation Method and structure for pFET junction profile with SiGe channel
KR20120054935A (ko) * 2010-11-22 2012-05-31 삼성전자주식회사 고유전체층을 게이트 절연층으로 채택하는 반도체 소자 및 그 제조방법들
KR20120089147A (ko) * 2011-02-01 2012-08-09 삼성전자주식회사 반도체 소자의 제조 방법
US8835246B2 (en) * 2011-02-25 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with resistors and methods of forming the same
KR20120107762A (ko) * 2011-03-22 2012-10-04 삼성전자주식회사 반도체 소자의 제조 방법
SE1150386A1 (sv) * 2011-05-03 2012-11-04 Fairchild Semiconductor Bipolär transistor av kiselkarbid med förbättrad genombrottsspänning
US20120280288A1 (en) * 2011-05-04 2012-11-08 International Business Machines Corporation Inversion thickness reduction in high-k gate stacks formed by replacement gate processes
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
FR2976726A1 (fr) * 2011-06-16 2012-12-21 St Microelectronics Crolles 2 Circuit integre comprenant une tranchee d'isolement et procede correspondant
US8597995B2 (en) * 2011-09-24 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate device with low temperature oxygen scavenging
US8809152B2 (en) * 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9034701B2 (en) * 2012-01-20 2015-05-19 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US20130221413A1 (en) * 2012-02-27 2013-08-29 International Business Machines Corporation Divot-free planarization dielectric layer for replacement gate
US20130256802A1 (en) * 2012-03-27 2013-10-03 International Business Machines Corporation Replacement Gate With Reduced Gate Leakage Current
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US9171929B2 (en) * 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
KR101929185B1 (ko) * 2012-05-02 2018-12-17 삼성전자 주식회사 반도체 장치의 제조 방법
KR20130127257A (ko) * 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8791003B2 (en) * 2012-06-21 2014-07-29 GlobalFoundries, Inc. Methods for fabricating integrated circuits with fluorine passivation
JP2014060391A (ja) * 2012-08-24 2014-04-03 Sumitomo Chemical Co Ltd 半導体基板の製造方法、半導体基板、半導体装置の製造方法および半導体装置
US9171715B2 (en) * 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
KR101912579B1 (ko) * 2012-09-07 2018-10-30 삼성전자 주식회사 반도체 장치의 제조 방법
US8772116B2 (en) * 2012-11-20 2014-07-08 International Business Machines Corporation Dielectric equivalent thickness and capacitance scaling for semiconductor devices
US8878302B2 (en) * 2012-12-05 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having SiGe substrate, interfacial layer and high K dielectric layer
US8766258B1 (en) * 2012-12-12 2014-07-01 International Business Machines Corporation Authentication using graphene based devices as physical unclonable functions
US9093530B2 (en) * 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9209182B2 (en) * 2012-12-28 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy metal gate structures to reduce dishing during chemical-mechanical polishing
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US8900952B2 (en) * 2013-03-11 2014-12-02 International Business Machines Corporation Gate stack including a high-k gate dielectric that is optimized for low voltage applications
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8859410B2 (en) * 2013-03-14 2014-10-14 International Business Machines Corporation Gate stack of boron semiconductor alloy, polysilicon and high-k gate dielectric for low voltage applications
JP6272612B2 (ja) * 2013-05-31 2018-01-31 住友化学株式会社 半導体基板、半導体基板の製造方法および電子デバイス
US20150021699A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages
US9214539B2 (en) * 2013-09-03 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gallium nitride transistor with a hybrid aluminum oxide layer as a gate dielectric
US9196546B2 (en) * 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9553160B2 (en) * 2013-10-09 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for monitoring impurity in high-K dielectric film
US9349823B2 (en) * 2013-11-14 2016-05-24 GlobalFoundries, Inc. Methods of scaling thickness of a gate dielectric structure, methods of forming an integrated circuit, and integrated circuits
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR101455263B1 (ko) * 2014-01-06 2014-10-31 연세대학교 산학협력단 기판의 산화물 제거 방법 및 이를 이용한 반도체 소자 제조 방법
US9607829B2 (en) * 2014-02-11 2017-03-28 Tokyo Electron Limited Method of surface functionalization for high-K deposition
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9196475B2 (en) * 2014-04-16 2015-11-24 GlobalFoundries, Inc. Methods for fabricating integrated circuits including fluorine incorporation
US9466492B2 (en) * 2014-05-02 2016-10-11 International Business Machines Corporation Method of lateral oxidation of NFET and PFET high-K gate stacks
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR102271003B1 (ko) * 2014-07-11 2021-06-29 삼성전자주식회사 반도체 소자의 제조 방법
US10134585B2 (en) * 2014-08-19 2018-11-20 The Regents Of The University Of California Low temperature atomic layer deposition of oxides on compound semiconductors
US9190266B1 (en) * 2014-08-27 2015-11-17 The Regents Of The University Of California High capacitance density gate dielectrics for III-V semiconductor channels using a pre-disposition surface treatment involving plasma and TI precursor exposure
KR102394887B1 (ko) * 2014-09-01 2022-05-04 삼성전자주식회사 반도체 장치의 제조 방법
TWI549297B (zh) * 2014-11-06 2016-09-11 國立交通大學 高電子遷移率電晶體及其製造方法
KR102365305B1 (ko) * 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
US9496183B1 (en) * 2015-05-07 2016-11-15 International Business Machines Corporation Selective thickening of pFET dielectric
US20160343806A1 (en) * 2015-05-21 2016-11-24 Globalfoundries Inc. Interface passivation layers and methods of fabricating
KR102290685B1 (ko) * 2015-06-04 2021-08-17 삼성전자주식회사 반도체 장치
US9564489B2 (en) * 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
KR102395061B1 (ko) * 2015-07-02 2022-05-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10192963B2 (en) * 2015-07-16 2019-01-29 Institute of Microelectronics, Chinese Academy of Sciences Composite gate dielectric layer applied to group III-V substrate and method for manufacturing the same
US9773663B2 (en) * 2015-08-06 2017-09-26 Applied Materials, Inc. Self-limiting and saturating chemical vapor deposition of a silicon bilayer and ALD
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10680108B2 (en) * 2015-12-04 2020-06-09 Imec Vzw Field-effect transistor comprising germanium and manufacturing method thereof
US9595449B1 (en) * 2015-12-21 2017-03-14 International Business Machines Corporation Silicon-germanium semiconductor devices and method of making
KR102497251B1 (ko) * 2015-12-29 2023-02-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10283605B2 (en) * 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US9806161B1 (en) * 2016-04-07 2017-10-31 Globalfoundries Inc. Integrated circuit structure having thin gate dielectric device and thick gate dielectric device
WO2017189581A1 (en) * 2016-04-25 2017-11-02 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10211064B2 (en) * 2016-06-08 2019-02-19 International Business Machines Corporation Multi time programmable memories using local implantation in high-K/ metal gate technologies
US10008386B2 (en) * 2016-09-12 2018-06-26 International Business Machines Corporation Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
US11201055B2 (en) * 2016-11-29 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having high-κ dielectric layer and method for manufacturing the same
US10256159B2 (en) * 2017-01-23 2019-04-09 International Business Machines Corporation Formation of common interfacial layer on Si/SiGe dual channel complementary metal oxide semiconductor device
KR20180106660A (ko) * 2017-03-21 2018-10-01 에스케이하이닉스 주식회사 비휘발성 메모리 장치
US10510889B2 (en) * 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. P-type strained channel in a fin field effect transistor (FinFET) device
US10629749B2 (en) * 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10930762B2 (en) * 2018-03-09 2021-02-23 International Business Machines Corporation Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth
US10504795B2 (en) * 2018-03-27 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning a lanthanum containing layer
US10636916B2 (en) * 2018-09-05 2020-04-28 Ishiang Shih High electron mobility thin film transistors
US11349008B2 (en) * 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US11282945B2 (en) * 2018-11-29 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Negative-capacitance field effect transistor
US11855129B2 (en) * 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitance structure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110993567A (zh) * 2019-12-09 2020-04-10 中国科学院微电子研究所 一种半导体结构及其形成方法
CN110993567B (zh) * 2019-12-09 2022-08-30 中国科学院微电子研究所 一种半导体结构及其形成方法

Also Published As

Publication number Publication date
US20200251598A1 (en) 2020-08-06
US20210296507A1 (en) 2021-09-23
US10629749B2 (en) 2020-04-21
TW201926461A (zh) 2019-07-01
US20230282753A1 (en) 2023-09-07
US11031508B2 (en) 2021-06-08
US20190165185A1 (en) 2019-05-30
US11688812B2 (en) 2023-06-27

Similar Documents

Publication Publication Date Title
CN109860019A (zh) 半导体装置的制造方法
US9608061B2 (en) Fin field-effct transistors
US9633856B2 (en) Method of forming a singe metal that performs N and P work functions in high-K/metal gate devices
US20110198675A1 (en) Spacer structure of a field effect transistor
US11749755B2 (en) Method of forming FinFET with low-dielectric-constant gate electrode spacers
US20060154411A1 (en) CMOS transistors and methods of forming same
US20150228788A1 (en) Stress memorization process and semiconductor structure including contact etch stop layer
US11355339B2 (en) Forming nitrogen-containing layers as oxidation blocking layers
US9960246B2 (en) Semiconductor structure with insertion layer and method for manufacturing the same
CN107123670A (zh) 鳍式场效应晶体管及其形成方法
US20200335346A1 (en) Structure and formation method of semiconductor device with metal gate stack
US20170317182A1 (en) High-K Dielectric and Method of Manufacture
CN106505040B (zh) 一种半导体器件及其制造方法
US8580698B2 (en) Method for fabricating a gate dielectric layer
CN110233134B (zh) 半导体结构及其形成方法
US20220376077A1 (en) Semiconductor Device and Method of Manufacture
US11127857B2 (en) Semiconductor device and method of manufacture
TWI505333B (zh) 一種製作半導體元件的方法
TWI508139B (zh) 製作半導體裝置的方法
WO2010116587A1 (ja) 半導体装置及びその製造方法
JP2011082418A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190607