TW201926461A - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TW201926461A
TW201926461A TW107120829A TW107120829A TW201926461A TW 201926461 A TW201926461 A TW 201926461A TW 107120829 A TW107120829 A TW 107120829A TW 107120829 A TW107120829 A TW 107120829A TW 201926461 A TW201926461 A TW 201926461A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric constant
interface
interface layer
high dielectric
Prior art date
Application number
TW107120829A
Other languages
English (en)
Inventor
張志宇
張翔筆
方子韋
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201926461A publication Critical patent/TW201926461A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Nanotechnology (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種方法包含在半導體基板上形成通道區域。在通道區域上形成介面層。使用三甲基鋁(TMA)處理介面層。在使用TMA處理介面層之後在介面層上形成高介電常數(高介電常數)介電質層。在高介電常數介電質層上形成閘極。使用TMA處理介面層和形成高介電常數介電質層係在同一腔室中進行。在使用TMA處理介面層之前退火介面層。退火介面層和使用TMA處理介面層係在不同的腔室中進行。

Description

半導體裝置的製造方法
本揭露涉及一種半導體裝置的製造方法。
使用有意生長的介面層(interfacial layer,IL)以便在通道區域和閘極絕緣體之間配置良好的介面,特別是使用高介電常數(高介電常數)介電質(例如二氧化鉿(HfO2)、矽酸鉿(HfSiO4)、二氧化鋯(ZrO2)、矽酸鋯(ZrSiO4)等),並以抑制金屬氧化物半導體場效應電晶體(metal-oxide-semiconductor field-effect transistors,MOSFET)中通道載子遷移率的降低。
然而,當通道區域含有矽鍺時,介面層的形成常常會導致介面層表面上的懸鍵。懸鍵會降低通道區域的電子遷移率。去除懸鍵的方法之一是在通道區域上磊晶生長覆蓋層。然而附加的覆蓋層會增加通道區域的厚度,並使元件的尺寸必須因此妥協。
本揭露內容之一態樣提供一種製造半導體裝置之 方法,包含下列步驟:形成通道區域於半導體基板上;形成介面層於通道區域上;使用三甲基鋁處理介面層;在使用三甲基鋁處理介面層之後,在介面層上形成一高介電常數介電質層;以及形成閘極於高介電常數介電質層上。
100‧‧‧方法
110、120、130、140、150‧‧‧操作
10‧‧‧晶片
20‧‧‧半導體基板
22‧‧‧虛設閘極堆疊
24‧‧‧虛設閘極介電質
26‧‧‧虛設閘極
28‧‧‧硬掩模
30‧‧‧源極和汲極區域
32‧‧‧通道區域
34‧‧‧閘極間隔物
38‧‧‧源極/汲極區域
40‧‧‧接觸蝕刻停止層
42‧‧‧層間介電質層
44‧‧‧凹槽
52、52a、52b、52c‧‧‧介面層
54‧‧‧高介電常數介電質層
62‧‧‧功函數金屬層
64‧‧‧金屬閘極
72‧‧‧替代閘極堆疊
202‧‧‧Si1-xGex
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本揭露的各方面。應注意,根據業界的標準慣例,各種特徵並未按比例繪製。實際上,為了清楚討論,各種特徵的尺寸可以任意增加或減小。
第1圖繪示根據本揭露之部分實施例中製造半導體裝置的方法的流程圖; 第2圖至第18圖是根據本揭露之部分實施例中在替換閘極堆疊形成製程中處於不同階段之部分的半導體裝置的橫截面圖;以及 第19A圖至第19D圖是根據本揭露之部分實施例中介面層處理製程中部分之半導體裝置的橫截面圖。
以下公開提供了用於實現所提供之主題的不同特徵的許多不同實施例或示例。以下描述組件和佈置的具體示例以簡化本揭露。當然,這些僅僅是示例,並不意在限制。例如,在下面的描述中,在第二特徵上方或之上形成第一特徵可以包 含其中第一特徵和第二特徵形成為直接接觸的實施例,並且還可以包含其中可以在第一特徵和第二特徵之間形成額外特徵,使得第一特徵和第二特徵可以不直接接觸。另外,本揭露可以在各種示例中重複附圖標記和/或文字。這種重複是為了簡單和清楚的目的,並且本身並不指定所討論的各種實施例和/或配置之間的關係。
此外,為了便於描述,在此可以使用例如「在...之下」、「在...下方」、「低於」、「在...之上」、「高於」等等的空間相對術語來描述一個元件或特徵與如附圖所示的另一個元件或特徵的關係。除了附圖中描繪的方向之外,空間相對術語旨在涵蓋使用或操作中的裝置的不同方位。此裝置可以以其他方式定向(旋轉90度或在其他方位)並且同樣可以相應地解釋這裡使用的空間相關描述符號。
鰭片可以透過任何合適的方法來圖案化。例如,可以使用一種或多種光刻製程(包括雙重圖案化或多重圖案化製程)來圖案化鰭片。通常,雙重圖案化或多重圖案化製程結合了光刻和自對準製程,從而允許創建具有例如比使用單一直接光刻製程可獲得之間距小的間距的圖案。例如,在一個實施例中,在基板上方形成犧牲層並使用光刻製程進行圖案化。使用自對準製程沿著圖案化的犧牲層形成間隔物。然後移除犧牲層,接著可以使用其餘的間隔物來圖案化鰭片。
根據各種示例性實施例提供了一種金屬氧化物半導體(metal-oxide-semiconductor,MOS)裝置及其形成方法。繪示了形成金屬氧化物半導體裝置的中間階段。討論實施 例的變化。在各種視圖和說明性實施例中,相同的附圖標記用於表示相同的元件。
參照第1圖,其係根據本揭露之部分實施例中製造半導體裝置的方法100的流程圖。此方法從操作110開始,其中在半導體基板上形成通道區域。此方法繼續進行操作120,其中在通道區域上形成介面層。隨後,執行操作130,使用三甲基鋁(trimethyl aluminium,TMA)處理介面層。此方法繼續操作140,其中在使用三甲基鋁處理介面層之後在介面層上形成高介電常數介電質層。此方法繼續進行操作150,其中在高介電常數介電質層上形成閘極。下面的討論示出了可以根據第1圖的方法100製造的半導體裝置的實施例。雖然方法100在下面被圖示和描述為一系列步驟或事件,但是應該理解,所示出的這些步驟或事件的順序不應被解釋為限制意義。例如,一些步驟可以以不同的順序發生和/或與除本文所示和/或描述的那些之外的其他步驟或事件同時發生。另外,並非所有示出的步驟都需要以實施本文描述的一個或多個方面或實施例。此外,這裡描述的一個或多個步驟可以在一個或多個單獨的步驟和/或階段中執行。
第2圖至第12圖是根據一些示例性實施例中形成金屬氧化物半導體裝置的中間階段的橫截面圖。請參考第2圖提供了包括半導體基板20的晶片10。矽鍺(Si1-xGex)層202形成在半導體基板20上,並且x的範圍在約0.15和約0.95之間。在x小於0.15的情況下,得到的矽鍺層的鍺含量太低因此會產生不利的影響。在部分實施例中,x可以高於0.95,並且 它表示所得矽鍺中GeOx的高比例。Si1-xGex層202磊晶生長在半導體基板20的表面上。鍺具有比矽更高的晶格常數,因此所得到的Si1-xGex層202的晶格結構允許比半導體基板20更高的電子空穴遷移率(electron hole mobility)。淺溝槽隔離(shallow trench isolation,STI)區域(未繪示)形成在Si1-xGex層202中並用於限定金屬氧化物半導體裝置的主動區域(active regions)。
請繼續參考第2圖在Si1-xGex層202上方形成虛設閘極堆疊22。虛設閘極堆疊22包括虛設閘極介電質24和虛設閘極26。虛設閘極介電質24在一些示例性實施例中包括氧化矽。在其他實施例中,也可使用例如氮化矽、碳化矽、或其相似物等的其他材料。虛設閘極26可以包括多晶矽。在部分實施例中,虛設閘極堆疊22進一步包括在虛設閘極26上方的硬掩模28。例如,硬掩模28可以包括例如氮化矽,然而也可以使用例如碳化矽、氮氧化矽、或其相似物等的其它材料。在部分的實施例中,不形成硬掩模28。虛設閘極堆疊22在Si1-xGex層202中限定通道區域32。源極和汲極區域(以下稱為源極/汲極區域)38(第3圖)隨後形成在通道區域32的相對側上。
請繼續參考第2圖。例如透過將p型雜質(例如硼和/或銦)注入到Si1-xGex層202中以形成輕摻雜源極和汲極(lightly-doped source and drain,LDD)區域30。例如,當金屬氧化物半導體裝置是p型金屬氧化物半導體(pMOS)裝置時,輕摻雜源極和汲極區域30是p型區域。虛設閘極堆疊22用作注入掩模,使得輕摻雜源極和汲極區域30的邊緣與虛 設閘極堆疊22的邊緣大致對齊。
參考第3圖。在虛設閘極堆疊22的側壁上形成閘極間隔物34。在部分實施例中,每個閘極間隔物34包括氮氧化矽層和氧化矽層。在其他實施例中,閘極間隔物34包括一層或多層,每個層包括氧化矽、氮化矽、氮氧化矽和/或其他介電質材料。閘極間隔物34的形成方法包括但不限於電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)、次大氣壓化學氣相沉積(sub-atmospheric chemical vapor deposition,SACVD)和其他沉積方法。
請繼續參考第3圖。在Si1-xGex層202中形成源極/汲極區域38。在其中金屬氧化物半導體裝置是p型金屬氧化物半導體裝置的實施例中,源極/汲極區域38是p型。在部分實施例中,在Si1-xGex層202中形成源極/汲極應力源(source/drain stressors)。源極/汲極應力源形成源極/汲極區域38的至少一部分。第3圖繪示了其中源極/汲極區域38完全重疊各自的源極/汲極應力源。
在金屬氧化物半導體裝置是p型金屬氧化物半導體裝置之部分實施例中,源極/汲極應力源可以包括合適的摻雜劑。可以透過蝕刻Si1-xGex層202和半導體基板20以在其中形成凹陷,然後執行磊晶以在凹陷中生長源極/汲極應力源來形成源極/汲極應力源。
請參考第4圖。在虛設閘極堆疊22和源極/汲極區 域38之上形成接觸蝕刻停止層(contact etch stop layer,CESL)40。在部分實施例中,接觸蝕刻停止層40包括氮化矽、碳化矽或其他介電質材料。在接觸蝕刻停止層40上方形成層間介電質(interlayer dielectric,ILD)層42。層間介電質層42毯式地形成到高於虛設閘極堆疊22的頂面的高度。層間介電質層42可包括使用例如可流動化學氣相沉積(lowable chemical vapot deposition,FCVD)形成的可流動氧化物。層間介電質層42也可以是使用旋轉塗佈形成的旋塗玻璃。例如,層間介電質層42可以包括磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、四乙氧基矽烷(tetraethyl orthosilicate,TEOS)氧化物、氮化鈦(TiN)、碳氧化矽(SiOC)或其他低介電常數多孔介電質材料。
請參考第5圖。第5圖繪示使用例如化學機械平坦化(chemical mechanical polish,CMP)進行的平坦化步驟。執行化學機械平坦化以移除層間介電質層42和接觸蝕刻停止層40的多餘部分。移除硬掩模28頂表面上方的多餘部分。因此,暴露虛設閘極堆疊22。在另外的實施例中,在化學機械平坦化期間移除硬掩模28,其中化學機械平坦化停止於虛設閘極26的頂表面上。
請參考第6圖。接著,移除虛設閘極堆疊22。移除虛設閘極堆疊22的結果為形成凹槽44,其中所得之結構繪示於第6圖中。移除虛設閘極堆疊22暴露了下面的Si1-xGex層 202。
第7圖至第12圖繪示替代閘極堆疊的形成。請參考第7圖。在通道區域32上形成介面層52。使用介面層52以便在Si1-xGex層202和閘極絕緣體之間配置良好的介面,特別是使用高介電常數介電質(例如二氧化鉿(HfO2)、矽酸鉿(HfSiO4)、二氧化鋯(ZrO2)、矽酸鋯(ZrSiO4)等),以抑制金屬氧化物半導體場效應電晶體(MOSFET)的通道載子之遷移率的降低。透過稀釋的氫氟酸、標準清潔1(Standard Clean 1,SC1)和標準清潔2(Standard Clean 1,SC2)、電漿氧化、臭氧化去離子水處理、快速熱氧化(rapid thermal oxidation,RTO)、或其類似物等製備的化學氧化物可在替代閘極堆疊時用於形成介面層52。例如,臭氧化的氧化物可以透過以氣相或預先溶解在去離子(de-ionized,DI)水中的高臭氧氣體生長。在部分實施例中,介面層52與通道區域32接觸。
在Si1-xGex層202氧化之後,在通道區域32的表面上形成介面層52的薄膜。介面層52包括氧化矽(SiOy,其中y大於0)和氧化鍺(GeOy,其中y大於0的)。由氧化處理產生的介面層52中的氧化鍺的比例高度依賴於半導體基板20上的Si1-xGex層202中的鍺含量。Si1-xGex層202中鍺的含量越高,則介面層52中氧化鍺的含量也越高。Si1-xGex層202中鍺的含量越低,則介面層52中氧化鍺的含量也越低。氧化鍺對於形成在Si1-xGex通道區域上的介面層的品質是有害的。帶電的介面狀態的增加證明了對通道區域的危害。對通道區域的危害也可由隨著介面層中氧化鍺的含量的增加而導致的遷移率降 低來證明。因此,本揭露描述了用於從介面層52清除或去除氧化鍺的方法,其包括形成在Si1-xGex層202上的氧化鍺和氧化矽。在部分實施例中,氧化鍺實質上從介面層52中移除,而留下氧化矽。在其他實施例中,在清除步驟之後,殘留的氧化鍺例如小於5%。
參考第8圖。下面描述透過清除步驟從介面層52除去氧化鍺。未標號的箭頭表示對晶片10的熱退火處理。清除步驟的第一階段透過在約500℃至約900℃的溫度下加熱晶片10約1分鐘來進行。如果加熱溫度低於500℃,則不能從介面層52完全除去氧化鍺。如果加熱溫度高於900℃,則可能發生源極和汲極劣化或介面粗糙。此熱退火在約1托(Torr)至約760托的氣壓中進行。熱退火處理在基本上無氧的條件且具有例如氮氣(N2)的惰性氣體的情況下進行以防止氧化。清除步驟是有效的,因為氧化鍺中的鍺-氧鍵比氧化矽中的矽-氧鍵和Si1-xGex層202中的矽-鍺鍵弱得多。因此,氧化鍺容易被除去,留下氧化矽保留在位於Si1-xGex層202上的介面層52a內。清除步驟的第一階段在晶片10轉移到原子層沉積(atomic layer deposition,ALD)反應室之前去除介面層52中大部分的氧化鍺。
請參考第9圖。從介面層52a中去除氧化鍺持續到第二階段。未標號的箭頭顯示了對晶片10原位的三甲基鋁(TMA)預處理。在基本上無氧的條件下進行三甲基鋁預處理。在氧化鍺清除步驟的第一階段之後,將晶片10轉移到原子層沉積反應室(未繪示),以準備沉積高介電常數介電質層。 在沉積高介電常數介電質層之前,晶片10在原子層沉積反應室中經歷三甲基鋁預處理。三甲基鋁是強還原劑,並且提供三甲基鋁的前驅物約30秒。三甲基鋁預處理在約150℃至約300℃的溫度下進行。這種三甲基鋁預處理是在透過原子層沉積沉積高介電常數介電質層之前的連續製程,並且三甲基鋁預處理的反應條件類似於高介電常數介電質層沉積之原子層沉積的反應條件。三甲基鋁前驅物的流量在約200每分鐘標準毫升數(standard cubic centimeter per minute,sccm)至約600sccm的範圍內。低於200sccm的流量可能導致氧化鍺的不完全去除。根據流量選擇氣壓。在部分實施例中,在三甲基鋁預處理期間的氣壓在約1托至約25托的範圍內。接著,透過三甲基鋁預處理去除介面層52a中剩餘的氧化鍺。在部分實施例中,在不同的腔室中進行熱退火處理和三甲基鋁預處理。
請參考第19A圖至第19D圖,其繪示氧化鍺清除步驟的示意圖。如第19A圖所示,形成矽鍺(Si1-xGex)層202。透過第19B圖所示的氧化步驟,在Si1-xGex層202上形成介面層52。介面層52包括氧化矽和氧化鍺,並且氧化矽和氧化鍺的含量取決於Si1-xGex層202的矽鍺比率。如第19C圖所示,未標號的箭頭表示清除氧化鍺的第一階段,其中熱退火處理中斷鍺和氧的鍵結,以將氧化鍺從介面層52中移除。氧化矽仍為介面層52a的成分。如第19D圖所示,接著進行三甲基鋁預處理以從介面層52a去除剩餘的氧化鍺。三甲基鋁預處理是在高介電常數介電質層原子層沉積製程中原位進行的。不需要將晶片10移動到不同的腔室以進行三甲基鋁預處理,這將簡化了製 造過程。介面層52的厚度保持相對地不變。
請參考第10圖。在部分實施例中,在沉積高介電常數介電質層之前,執行原位氮化處理。氮化處理在原子層沉積反應室中進行。未編號的箭頭顯示氮化過程。透過包括熱退火和三甲基鋁預處理的兩階段清除步驟除去氧化鍺。透過用含氮試劑進行氮化處理,將介面層52b的剩餘氧化矽轉化為氮氧化矽(SiOaNb),其中a和b皆大於0。氮化處理包括例如在電漿輔助原子層沉積(plasma enhanced atomic layer deposition,PEALD)的氨(NH3)電漿中約5秒至30秒,在電漿輔助原子層沉積的氮(N2)電漿中約5秒至30秒,或者在氨(NH3)氣體在約300℃至500℃的電漿輔助原子層沉積中退火約1分鐘。如果電漿處理的持續時間短於5秒,則氮化效果可能不足,導致後續製程中形成氧化鍺。如果電漿處理的持續時間長於30秒,則電漿的強度可能會損壞介面層52b。如果氨(NH3)氣體退火的溫度低於300℃,則可能不會在介面層52b上發生氮化,並且此持續時間將允許足夠的氮氧化矽的形成。此氮化處理進一步防止Si1-xGex層202的鍺向外擴散。然後,介面層52c便是含氮層,例如是覆蓋Si1-xGex層202的通道區域32的氮氧化矽層。
在部分實施例中,氮化處理可以延伸到介面層52c與Si1-xGex層202之間的介面。這防止了Si1-xGex層202的鍺向外擴散。這也避免了介面層52c具有未處理的部分。此未處理部分會增加閘極堆疊的等效氧化物厚度(effective oxide thickness,EOT),導致裝置的閘極控制能力較低。在部分實 施例中,氮化的介面層52c在其中包含氮,並且氮化的介面層52c的厚度在約5埃(Å)至約10埃的範圍內。如果氮化的介面層52c的厚度小於約5埃,則氮化的介面層52c可能不夠厚以防止Si1-xGex層202的鍺向外擴散,導致在隨後的製程中形成氧化鍺。另一方面,如果氮化介面層52c大於約10埃,則閘極堆疊的等效氧化物厚度可能太厚,導致裝置的閘極控制能力較低。
在部分實施例中,在氮化處理期間,半導體基板20的溫度在約300℃至約1000℃的範圍內。如果在氮化處理期間半導體基板20的溫度低於約300℃,則氮化效果可能不足,導致在後續製程中形成氧化鍺。如果半導體基板20的溫度大於約1000℃,則氮化處理可能會影響下面的Si1-xGex層202,導致閘極堆疊的等效氧化物厚度增加,這將導致裝置的閘極控制能力較低。
在部分實施例中,氮化處理的電漿功率在約50瓦(w)至約650瓦的範圍內。如果氮化處理的電漿功率低於約50瓦,則氮化效果可能不足,導致在後續製程中形成氧化鍺。如果氮化處理的電漿功率大於約650瓦,則氮化處理可能影響下面的Si1-xGex層202,導致閘極堆疊的等效氧化物厚度增加,這將導致裝置的閘極控制能力較低。
請參考第11圖。形成高介電常數介電質層54。高介電常數介電質層54包括例如氧化鉿、氧化鑭、氧化鋁等的高介電常數介電質材料。高介電常數介電質材料的介電常數(k值)高於3.9,並且可能高於約7,有時高達21或更高。高介電 常數介電質層54覆蓋介面層52c。在原子層沉積反應室中形成高介電常數介電質層54。功函數金屬層62形成在高介電常數介電質層54上。根據部分實施例,功函數金屬層62可以包括鈦鋁(TiAl)。在部分實施例中,在功函數金屬層62和高介電常數介電質層54之間插入隔離層(未繪示)。隔離層可以包括氮化鈦(TiN)、氮化鉭(TaN)或其複合物。例如,隔離層可以包括氮化鈦層(隔離層的下部)和在氮化鈦層上的氮化鉭層(隔離層的上部)。
請繼續參考第11圖。在部分實施例中,隨後形成的金屬層可以包括阻擋層(未繪示)、潤濕層(未繪示)和金屬閘極64。阻擋層可以包括氮化鈦,並且潤濕層可以是鈷層。金屬閘極64可以包括鎢、鎢合金、鋁、鋁合金等。
參考第12圖,繪示平坦化步驟。平坦化步驟可以是例如使用化學機械平坦化以去除位於層間介電質層42上方之多餘的高介電常數介電質層54、功函數金屬層62和金屬閘極64。介面層52c、高介電常數介電質層54、功函數金屬層62和金屬閘極形成替代閘極堆疊72。
替代閘極堆疊72具有位於高介電常數介電質層54和Si1-xGex層202之間的含氮介面層52c。介面層52c經歷熱退火和三甲基鋁預處理並且進一步進行氮化處理。這些製程確保氧化鍺從介面層52c中脫附,並且因此在介面層52c和Si1-xGex層202之間的介面處保持較低的介面能態密度(interface state density,Dit)。較低的介面能態密度較不可能使開關切換曲線變平坦並允許在通道區域具有較高的電 子遷移率。可以省略處理Si1-xGex層202表面的磊晶製程,因為一系列介面層處理已使其上的懸鍵最小化。如果在通道區域上不添加磊晶蓋,則可以實現通道體的縮小,特別是在例如超薄體絕緣體上矽鍺(Silicon Germanium on Insulator,SiGe-OI)場效應電晶體(Field Effect Transistor,FET)、鰭式場效應電晶體(FinFET)、奈米線場效應電晶體等的裝置中。
第13圖至第15圖繪示在部分實施例中替代閘極堆疊的形成。請參考第13圖,第13圖繪示高介電常數鈍化層82的形成。在如第2圖至第6圖所示之移除虛設閘極堆疊22並且形成凹槽44之後,介面層52經過一系列處理,包括熱退火和原位三甲基鋁預處理,如第7圖至第9圖所示。當在高介電常數介電質層沉積之前並在將三甲基鋁前驅物引入反應室時,晶片10位於原子層沉積反應室中。在退火和三甲基鋁預處理之後,氧化鍺已從介面層52b中去除,留下氧化矽作為介面層52b中的關鍵組分。在部分實施例中,在此過程中省略介面層的氮化。或者,在經過熱退火和三甲基鋁預處理的介面層52b上形成高介電常數鈍化層82。
在同一原子層沉積反應室中,在高介電常數介電質層沉積之前,透過原子層沉積形成高介電常數鈍化層82。高介電常數鈍化層82與替代閘極凹槽44一致,其中閘極間隔物34的側壁和介面層52b的頂表面因此被覆蓋。高介電常數鈍化層82與介面層52b反應。因此,高介電常數鈍化層82在其底部包括例如高介電常數矽酸鹽、鍺酸鹽或其組合。隨著與介面層 52b的距離的增加,高介電常數鈍化層82中的高介電常數矽酸鹽或鍺酸鹽的濃度減小。高介電常數鈍化層82中的高介電常數材料的實例可以是氧化鋁(Al2O3)、氧化鑭(La2O3)、氧化釔(Y3O3)或其組合。此高介電常數鈍化層82可防止Si1-xGex層202的鍺向外擴散。高介電常數鈍化層82的厚度可以在約5埃和10埃之間的範圍內。
在部分實施例中,高介電常數鈍化層82的厚度在從大約5埃到大約10埃的範圍內。如果高介電常數鈍化層82的厚度小於約5埃,則高介電常數鈍化層82可能不夠厚以防止Si1-xGex層202的鍺向外擴散,導致在隨後的過程中形成氧化鍺。如果高介電常數鈍化層82的厚度大於約10埃,則閘極堆疊的等效氧化物厚度(EOT)可能太厚,導致裝置的閘極控制能力較低。
請參考第14圖。高介電常數介電質層54形成在高介電常數鈍化層82上。高介電常數介電質層54包括高介電常數介電質材料,例如氧化鉿、氧化鑭、氧化鋁或其相似物等。在原子層沉積反應室中執行高介電常數介電質層54的形成。高介電常數鈍化層82插入在高介電常數介電質層54和介面層52b之間。與第11圖中所示的實施例不同,由於插入高介電常數鈍化層82,因此高介電常數介電質層54與介面層52b間隔開。形成功函數金屬層62於高介電常數介電質層54上。根據部分實施例,功函數金屬層62可以包括鈦鋁(TiAl)。
請繼續參考第14圖。在部分實施例中,隨後形成的金屬層可以包括阻擋層(未繪示)、潤濕層(未繪示)和金 屬閘極64。阻擋層可以包括氮化鈦(TiN),並且潤濕層可以是鈷層。金屬閘極64可以包括鎢、鎢合金、鋁、鋁合金,或其相似物等。
請參考第15圖,其繪示平坦化步驟。平坦化步驟可以例如是使用化學機械平坦化以移除位於層間介電質層42上的高介電常數鈍化層82、高介電常數介電質層54、功函數金屬層62和金屬閘極64的多餘部分。介面層52b、高介電常數鈍化層82、高介電常數介電質層54、功函數金屬層62和金屬閘極64形成替代閘極堆疊92。
替代閘極堆疊92具有介於介面層52b和高介電常數介電質層54之間的高介電常數鈍化層82。介面層52b經歷熱退火和三甲基鋁預處理以去除其上的懸鍵,並且高介電常數鈍化層82防止鍺從Si1-xGex層202向外擴散。這些製程確保無鍺氧化物的介面層52b,並且來自Si1-xGex層202的鍺被限制在其內。較低的介面能態密度(Dit)因此可以保持在介面層52b和Si1-xGex層202之間的介面處。較低的介面能態密度(Dit)較不可能使開關切換曲線變平坦並且允許在通道區域處具有較高的電子遷移率。即使在通道區域上沒有添加磊晶蓋,氧化鍺已被去除,並且剩餘的自由鍺也不會擴散出Si1-xGex層202。
請參考第16圖。在部分實施例中,在進行原位氮化處理(參見第10圖)之後,高介電常數鈍化層82形成在是氧氮化矽層的介面層52c上。在原子層沉積反應室中進行高介電常數鈍化層82的形成。介面層52c覆蓋通道區域32,並且高介電常數鈍化層82覆蓋介面層52c。高介電常數鈍化層82與替 換閘極凹槽44一致,其中閘極間隔物34的側壁和介面層52c的頂表面因此被覆蓋。高介電常數鈍化層82與介面層52c反應。因此,高介電常數鈍化層82在其覆蓋介面層52c的底部中包含例如高介電常數矽酸鹽、鍺酸鹽或其組合。隨著與介面層52c的距離的增加,高介電常數鈍化層82中的高介電常數矽酸鹽或鍺酸鹽的濃度降低。高介電常數鈍化層82中的高介電常數材料的實例可以是氧化鋁(Al2O3)、氧化鑭(La2O3)、氧化釔(Y3O3)或其組合。此高介電常數鈍化層82防止Si1-xGex層202的鍺向外擴散。高介電常數鈍化層82的厚度可以在約5埃和10埃之間的範圍內。
請參考第17圖。形成高介電常數介電質層54。高介電常數介電質層54包括例如氧化鉿、氧化鑭、氧化鋁或其相似物等的高介電常數介電質材料。在原子層沉積反應室中執行高介電常數介電質層54的形成。高介電常數介電質材料的介電常數(k值)高於3.9,並且可能高於約7,有時高達21或更高。高介電常數介電質層54覆蓋高介電常數鈍化層82。功函數金屬層62形成在高介電常數介電質層54上。根據部分實施例,功函數金屬層62可以包括鈦鋁(TiAl)。在部分實施例中,在功函數金屬層62和高介電常數介電質層54之間插入隔離層(未繪示)。隔離層可以包括氮化鈦(TiN)、氮化鉭(TaN)或其複合物。例如,例如,隔離層可以包括氮化鈦層(隔離層的下部)和在氮化鈦層上的氮化鉭層(隔離層的上部)。
請參考第17圖。在部分實施例中,隨後形成的金屬層可以包括阻擋層(未繪示)、潤濕層(未繪示)和金屬閘 極64。阻擋層可以包括氮化鈦(TiN),並且潤濕層可以是鈷層。金屬閘極64可以包括鎢、鎢合金、鋁、鋁合金,或其相似物。
請參考第18圖,此圖繪示平坦化步驟。平坦化步驟可以是例如化學機械平坦化,以用於移除位於層間介電質層42上的高介電常數鈍化層82、高介電常數介電質層54、功函數金屬層62和金屬閘極64的多餘部分。介面層52c、高介電常數鈍化層82、高介電常數介電質層54、功函數金屬層62和金屬閘極64形成替代閘極堆疊92。
替代閘極堆疊92具有含氮介面層52c和介於介面層52c和高介電常數介電質層54之間的高介電常數鈍化層82。介面層52c經歷熱退火和三甲基鋁預處理,以便去除其上的懸鍵。介面層52c防止鍺從Si1-xGex層202向外擴散,並且高介電常數鈍化層82是防止鍺向外擴散的第二阻擋層。由於介面層52c和高介電常數鈍化層82,剩餘的鍺牢固地固定在Si1-xGex層202中。因此可以在介面層52c和Si1-xGex層202之間的介面處保持較低的介面能態密度(Dit)。較低的介面能態密度(Dit)較不可能使開關切換曲線變平坦並且允許在通道區域32處具有較高的電子遷移率。
在介面層形成之後,首先對介面層進行退火以除去氧化鍺。隨後,進行涉及在介面層上使用三甲基鋁前驅物的三甲基鋁預處理。三甲基鋁預處理進一步從介面層中去除剩餘的氧化鍺。接著,介面層可以經歷氮化以形成氮氧化矽層。或者,可以在介面層上形成高介電常數鈍化層。氮化過程或高介 電常數鈍化層防止鍺從Si1-xGex層向外擴散。由於去除了氧化鍺並且阻礙了鍺外擴散,因此可以在介面層和Si1-xGex層之間的介面處實現較低的介面能態密度(Dit),因而使通道區具有更高的電子遷移率。
在部分實施例中,一種方法包括在半導體基板上形成通道區域。在通道區域上形成介面層。使用三甲基鋁(TMA)處理介面層。在使用三甲基鋁處理介面層之後在介面層上形成高介電常數介電質層。在高介電常數介電質層上形成閘極。
在部分實施例中,使用三甲基鋁處理介面層和形成高介電常數介電質層係在同一腔室中進行。
在一些實施方案中,此方法更包含在使用三甲基鋁處理介面層之前退火介面層。
在部分實施方案中,退火介面層和使用三甲基鋁處理介面層係在不同的腔室中進行。
在部分實施例中,此方法更包含在形成高介電常數介電質層之前用含氮試劑處理介面層。
在部分實施例中,用含氮試劑處理介面層是在使用三甲基鋁處理介面層之後進行的。
在部分實施例中,此方法更包含在形成高介電常數介電質層之前在介面層上形成高介電常數鈍化層,其中高介電常數鈍化層包括高介電常數矽酸鹽、高介電常數鍺酸鹽,或其組合。
在部分實施例中,高介電常數鈍化層包括氧化鋁 (Al2O3)、氧化鑭(La2O3)、氧化釔(Y3O3)或其組合。
在部分實施例中,在使用三甲基鋁處理介面層之後形成高介電常數鈍化層。
在部分實施例中,通道區域包括矽鍺。
在一些實施方式中,使用三甲基鋁處理介面層係在基本上無氧的條件下進行。
在部分實施例中,一種方法包括在半導體基板上形成通道區域。在通道區域上形成介面層。高介電常數鈍化層形成在介面層上。高介電常數鈍化層包括高介電常數矽酸鹽、高介電常數鍺酸鹽或其組合。高介電常數介電質層形成在高介電常數鈍化層上。在高介電常數介電質層上形成閘極。
在部分實施例中,此方法更包含在形成高介電常數鈍化層之前在介面層上執行氮化製程。
在部分實施例中,氮化製程包括氨(NH3)電漿處理、氮(N2)電漿處理、氨(NH3)氣退火或其組合。
在部分實施例中,此方法更包含在形成高介電常數鈍化層之前退火介面層。
在部分實施例中,介面層的退火係在基本上無氧的條件下進行。
在部分實施例中,裝置具有半導體基板,此半導體基板包括通道區域、在通道區域上的介面層、以及在介面層上的高介電常數鈍化層。高介電常數鈍化層包括高介電常數矽酸鹽、高介電常數鍺酸鹽或其組合。高介電常數介電質層設置在高介電常數鈍化層上。金屬閘極設置在高介電常數介電質層 上。
在部分實施例中,介面層包括氮氧化矽。
在部分實施例中,高介電常數鈍化層包括氧化鋁(Al2O3)、氧化鑭(La2O3)、氧化釔(Y3O3)或其組合。
在部分實施例中,介面層與通道區域接觸。
以上概述了若干實施例的特徵,以便本領域技術人員可以更好地理解本揭露的各方面。本領域的技術人員應該理解,他們可以容易地使用本揭露作為用於設計或修改用於實現相同目的和/或實現本文介紹的實施例的相同優點的其他過程和結構的基礎。本領域技術人員還應該認識到,這樣的等同構造不脫離本揭露的精神和範圍,並且可以在不脫離本揭露的精神和範圍的情況下進行各種改變、替換和變更。

Claims (1)

  1. 一種半導體裝置的製造方法,包含:形成一通道區域於一半導體基板上;形成一介面層於該通道區域上;使用三甲基鋁處理該介面層;在使用三甲基鋁處理該介面層之後,在該介面層上形成一高介電常數介電質層;以及形成一閘極於該高介電常數介電質層上。
TW107120829A 2017-11-30 2018-06-15 半導體裝置的製造方法 TW201926461A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762593004P 2017-11-30 2017-11-30
US62/593,004 2017-11-30
US15/919,070 2018-03-12
US15/919,070 US10629749B2 (en) 2017-11-30 2018-03-12 Method of treating interfacial layer on silicon germanium

Publications (1)

Publication Number Publication Date
TW201926461A true TW201926461A (zh) 2019-07-01

Family

ID=66633466

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107120829A TW201926461A (zh) 2017-11-30 2018-06-15 半導體裝置的製造方法

Country Status (3)

Country Link
US (4) US10629749B2 (zh)
CN (1) CN109860019A (zh)
TW (1) TW201926461A (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629749B2 (en) * 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10971362B2 (en) * 2019-02-27 2021-04-06 International Business Machines Corporation Extreme ultraviolet patterning process with resist hardening
US20200403081A1 (en) * 2019-06-19 2020-12-24 Seung Hoon Sung Recessed gate oxide on the sidewall of gate trench
CN110993567B (zh) * 2019-12-09 2022-08-30 中国科学院微电子研究所 一种半导体结构及其形成方法
US20210408239A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors
US20220285513A1 (en) * 2021-03-05 2022-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact with low-k contact etch stop layer and method of fabricating thereof
US20220328647A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices with Air Gaps and the Method Thereof

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US212902A (en) * 1879-03-04 Improvement in molds for casting steel
US154108A (en) * 1874-08-11 Improvement in elevators
US7115461B2 (en) * 1997-07-24 2006-10-03 Texas Instruments Incorporated High permittivity silicate gate dielectric
US6559007B1 (en) * 2000-04-06 2003-05-06 Micron Technology, Inc. Method for forming flash memory device having a tunnel dielectric comprising nitrided oxide
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US7371633B2 (en) * 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
DE60239828D1 (de) * 2001-11-30 2011-06-01 Panasonic Corp Ür
US6586349B1 (en) * 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6764898B1 (en) * 2002-05-16 2004-07-20 Advanced Micro Devices, Inc. Implantation into high-K dielectric material after gate etch to facilitate removal
JP4643884B2 (ja) * 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
KR100482372B1 (ko) * 2002-12-03 2005-04-14 삼성전자주식회사 반도체 소자의 게이트 산화막 형성방법
US7015088B2 (en) * 2002-12-31 2006-03-21 Texas Instruments Incorporated High-K gate dielectric defect gettering using dopants
JP4887604B2 (ja) * 2003-08-29 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20050224897A1 (en) * 2004-03-26 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics
KR100597642B1 (ko) * 2004-07-30 2006-07-05 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
JP4938262B2 (ja) * 2004-08-25 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7682988B2 (en) * 2004-08-31 2010-03-23 Texas Instruments Incorporated Thermal treatment of nitrided oxide to improve negative bias thermal instability
US20060043479A1 (en) 2004-09-02 2006-03-02 Patrice Parris Metal oxide semiconductor device including a shielding structure for low gate-drain capacitance
US7242055B2 (en) * 2004-11-15 2007-07-10 International Business Machines Corporation Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
US7355235B2 (en) * 2004-12-22 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for high-k gate dielectrics
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7612403B2 (en) * 2005-05-17 2009-11-03 Micron Technology, Inc. Low power non-volatile memory and gate stack
US7655994B2 (en) * 2005-10-26 2010-02-02 International Business Machines Corporation Low threshold voltage semiconductor device with dual threshold voltage control means
US7435640B2 (en) * 2005-11-08 2008-10-14 United Microelectronics Corp. Method of fabricating gate structure
US7425497B2 (en) * 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
WO2007116470A1 (ja) * 2006-03-31 2007-10-18 Fujitsu Limited 半導体装置及びその製造方法
US7902018B2 (en) * 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors
US7897480B2 (en) * 2007-04-23 2011-03-01 International Business Machines Corporation Preparation of high quality strained-semiconductor directly-on-insulator substrates
US20130198321A1 (en) * 2012-01-31 2013-08-01 Paul W. Martin Content associated with primary content
US20100000520A1 (en) * 2007-07-26 2010-01-07 Vachon Christian Perforated transparent glazing for heat recovery and solar air heating
JP2009164424A (ja) * 2008-01-08 2009-07-23 Toshiba Corp 半導体装置およびその製造方法
US20100052077A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High-k metal gate structure including buffer layer
US9711373B2 (en) * 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US10307862B2 (en) * 2009-03-27 2019-06-04 Electro Scientific Industries, Inc Laser micromachining with tailored bursts of short laser pulses
JP2010283040A (ja) * 2009-06-02 2010-12-16 Panasonic Corp 半導体装置及びその製造方法
JP5442332B2 (ja) * 2009-06-26 2014-03-12 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8716095B2 (en) * 2010-06-03 2014-05-06 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
JP5557632B2 (ja) * 2010-07-14 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8962417B2 (en) * 2010-10-15 2015-02-24 International Business Machines Corporation Method and structure for pFET junction profile with SiGe channel
KR20120054935A (ko) * 2010-11-22 2012-05-31 삼성전자주식회사 고유전체층을 게이트 절연층으로 채택하는 반도체 소자 및 그 제조방법들
KR20120089147A (ko) * 2011-02-01 2012-08-09 삼성전자주식회사 반도체 소자의 제조 방법
US8835246B2 (en) * 2011-02-25 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with resistors and methods of forming the same
KR20120107762A (ko) * 2011-03-22 2012-10-04 삼성전자주식회사 반도체 소자의 제조 방법
SE1150386A1 (sv) * 2011-05-03 2012-11-04 Fairchild Semiconductor Bipolär transistor av kiselkarbid med förbättrad genombrottsspänning
US20120280288A1 (en) * 2011-05-04 2012-11-08 International Business Machines Corporation Inversion thickness reduction in high-k gate stacks formed by replacement gate processes
FR2976726A1 (fr) * 2011-06-16 2012-12-21 St Microelectronics Crolles 2 Circuit integre comprenant une tranchee d'isolement et procede correspondant
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8597995B2 (en) * 2011-09-24 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate device with low temperature oxygen scavenging
US8809152B2 (en) * 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9034701B2 (en) * 2012-01-20 2015-05-19 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US20130221413A1 (en) * 2012-02-27 2013-08-29 International Business Machines Corporation Divot-free planarization dielectric layer for replacement gate
US20130256802A1 (en) * 2012-03-27 2013-10-03 International Business Machines Corporation Replacement Gate With Reduced Gate Leakage Current
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US9171929B2 (en) * 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
KR101929185B1 (ko) * 2012-05-02 2018-12-17 삼성전자 주식회사 반도체 장치의 제조 방법
KR20130127257A (ko) * 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8791003B2 (en) * 2012-06-21 2014-07-29 GlobalFoundries, Inc. Methods for fabricating integrated circuits with fluorine passivation
JP2014060391A (ja) * 2012-08-24 2014-04-03 Sumitomo Chemical Co Ltd 半導体基板の製造方法、半導体基板、半導体装置の製造方法および半導体装置
US9171715B2 (en) * 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
KR101912579B1 (ko) * 2012-09-07 2018-10-30 삼성전자 주식회사 반도체 장치의 제조 방법
US8772116B2 (en) * 2012-11-20 2014-07-08 International Business Machines Corporation Dielectric equivalent thickness and capacitance scaling for semiconductor devices
US8878302B2 (en) * 2012-12-05 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having SiGe substrate, interfacial layer and high K dielectric layer
US8766258B1 (en) * 2012-12-12 2014-07-01 International Business Machines Corporation Authentication using graphene based devices as physical unclonable functions
US9209182B2 (en) * 2012-12-28 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy metal gate structures to reduce dishing during chemical-mechanical polishing
US9093530B2 (en) * 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US8900952B2 (en) * 2013-03-11 2014-12-02 International Business Machines Corporation Gate stack including a high-k gate dielectric that is optimized for low voltage applications
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8859410B2 (en) * 2013-03-14 2014-10-14 International Business Machines Corporation Gate stack of boron semiconductor alloy, polysilicon and high-k gate dielectric for low voltage applications
JP6272612B2 (ja) * 2013-05-31 2018-01-31 住友化学株式会社 半導体基板、半導体基板の製造方法および電子デバイス
US20150021699A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages
US9214539B2 (en) * 2013-09-03 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gallium nitride transistor with a hybrid aluminum oxide layer as a gate dielectric
US9196546B2 (en) * 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9553160B2 (en) * 2013-10-09 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for monitoring impurity in high-K dielectric film
US9349823B2 (en) * 2013-11-14 2016-05-24 GlobalFoundries, Inc. Methods of scaling thickness of a gate dielectric structure, methods of forming an integrated circuit, and integrated circuits
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR101455263B1 (ko) * 2014-01-06 2014-10-31 연세대학교 산학협력단 기판의 산화물 제거 방법 및 이를 이용한 반도체 소자 제조 방법
US9607829B2 (en) * 2014-02-11 2017-03-28 Tokyo Electron Limited Method of surface functionalization for high-K deposition
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9196475B2 (en) * 2014-04-16 2015-11-24 GlobalFoundries, Inc. Methods for fabricating integrated circuits including fluorine incorporation
US9466492B2 (en) * 2014-05-02 2016-10-11 International Business Machines Corporation Method of lateral oxidation of NFET and PFET high-K gate stacks
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR102271003B1 (ko) * 2014-07-11 2021-06-29 삼성전자주식회사 반도체 소자의 제조 방법
US10134585B2 (en) * 2014-08-19 2018-11-20 The Regents Of The University Of California Low temperature atomic layer deposition of oxides on compound semiconductors
US9190266B1 (en) * 2014-08-27 2015-11-17 The Regents Of The University Of California High capacitance density gate dielectrics for III-V semiconductor channels using a pre-disposition surface treatment involving plasma and TI precursor exposure
KR102394887B1 (ko) * 2014-09-01 2022-05-04 삼성전자주식회사 반도체 장치의 제조 방법
TWI549297B (zh) * 2014-11-06 2016-09-11 國立交通大學 高電子遷移率電晶體及其製造方法
KR102365305B1 (ko) * 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
US9496183B1 (en) * 2015-05-07 2016-11-15 International Business Machines Corporation Selective thickening of pFET dielectric
US20160343806A1 (en) * 2015-05-21 2016-11-24 Globalfoundries Inc. Interface passivation layers and methods of fabricating
KR102290685B1 (ko) * 2015-06-04 2021-08-17 삼성전자주식회사 반도체 장치
US9564489B2 (en) * 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
KR102395061B1 (ko) * 2015-07-02 2022-05-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10192963B2 (en) * 2015-07-16 2019-01-29 Institute of Microelectronics, Chinese Academy of Sciences Composite gate dielectric layer applied to group III-V substrate and method for manufacturing the same
US9773663B2 (en) * 2015-08-06 2017-09-26 Applied Materials, Inc. Self-limiting and saturating chemical vapor deposition of a silicon bilayer and ALD
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10680108B2 (en) * 2015-12-04 2020-06-09 Imec Vzw Field-effect transistor comprising germanium and manufacturing method thereof
US9595449B1 (en) * 2015-12-21 2017-03-14 International Business Machines Corporation Silicon-germanium semiconductor devices and method of making
KR102497251B1 (ko) * 2015-12-29 2023-02-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10283605B2 (en) * 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US9806161B1 (en) * 2016-04-07 2017-10-31 Globalfoundries Inc. Integrated circuit structure having thin gate dielectric device and thick gate dielectric device
US10262858B2 (en) * 2016-04-25 2019-04-16 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10211064B2 (en) * 2016-06-08 2019-02-19 International Business Machines Corporation Multi time programmable memories using local implantation in high-K/ metal gate technologies
US10008386B2 (en) * 2016-09-12 2018-06-26 International Business Machines Corporation Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
US11201055B2 (en) * 2016-11-29 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having high-κ dielectric layer and method for manufacturing the same
US10256159B2 (en) * 2017-01-23 2019-04-09 International Business Machines Corporation Formation of common interfacial layer on Si/SiGe dual channel complementary metal oxide semiconductor device
KR20180106660A (ko) * 2017-03-21 2018-10-01 에스케이하이닉스 주식회사 비휘발성 메모리 장치
US10510889B2 (en) * 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. P-type strained channel in a fin field effect transistor (FinFET) device
US10629749B2 (en) * 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10930762B2 (en) * 2018-03-09 2021-02-23 International Business Machines Corporation Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth
US10504795B2 (en) * 2018-03-27 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning a lanthanum containing layer
US10636916B2 (en) * 2018-09-05 2020-04-28 Ishiang Shih High electron mobility thin film transistors
US11349008B2 (en) * 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US11282945B2 (en) * 2018-11-29 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Negative-capacitance field effect transistor
US11855129B2 (en) * 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitance structure

Also Published As

Publication number Publication date
US11031508B2 (en) 2021-06-08
CN109860019A (zh) 2019-06-07
US10629749B2 (en) 2020-04-21
US20190165185A1 (en) 2019-05-30
US20230282753A1 (en) 2023-09-07
US11688812B2 (en) 2023-06-27
US20210296507A1 (en) 2021-09-23
US20200251598A1 (en) 2020-08-06

Similar Documents

Publication Publication Date Title
US11031508B2 (en) Semiconductor device with treated interfacial layer on silicon germanium
US10276399B2 (en) FinFET doping methods and structures thereof
US9608061B2 (en) Fin field-effct transistors
US11011433B2 (en) NMOS and PMOS transistor gates with hafnium oxide layers and lanthanum oxide layers
KR101282343B1 (ko) 금속게이트를 갖는 반도체장치 및 그 제조 방법
US9893160B2 (en) Methods of forming gate dielectric material
US7732344B1 (en) High selectivity etching process for metal gate N/P patterning
US11171061B2 (en) Method for patterning a lanthanum containing layer
US8778754B2 (en) Method of forming a single metal that performs N and P work functions in high-K/metal gate devices
US10868133B2 (en) Semiconductor device structure and method for forming the same
TWI756544B (zh) 半導體裝置及其製造方法
US20180337248A1 (en) High-K Dielectric and Method of Manufacture
TW202213633A (zh) 半導體積體電路結構及其製造方法
US9960246B2 (en) Semiconductor structure with insertion layer and method for manufacturing the same
US11756834B2 (en) Semiconductor structure and method for forming the same
US20210257263A1 (en) NMOS and PMOS Transistor Gates with Hafnium Oxide Layers and Lanthanum Oxide Layers
US11569365B2 (en) Semiconductor structure and method for manufacturing the same
US20220102221A1 (en) Post Gate Dielectric Processing for Semiconductor Device Fabrication
TW202117813A (zh) 半導體裝置製造方法