CN109585448A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN109585448A
CN109585448A CN201711278787.8A CN201711278787A CN109585448A CN 109585448 A CN109585448 A CN 109585448A CN 201711278787 A CN201711278787 A CN 201711278787A CN 109585448 A CN109585448 A CN 109585448A
Authority
CN
China
Prior art keywords
layer
dielectric
nfet
pfet
core devices
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711278787.8A
Other languages
English (en)
Other versions
CN109585448B (zh
Inventor
郑兆钦
云惟胜
陈奕升
余绍铭
陈自强
叶致锴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109585448A publication Critical patent/CN109585448A/zh
Application granted granted Critical
Publication of CN109585448B publication Critical patent/CN109585448B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明实施例提供一种半导体器件,其包括:衬底;在衬底上方的I/O器件;以及在衬底上方的核心器件。I/O器件包括第一栅极结构,第一栅极结构具有:界面层;在界面层上方的第一高k介电堆叠件;以及导电层,导电层在第一高k介电堆叠件上方并且与第一高k介电堆叠件物理接触。核心器件包括第二栅极结构,第二栅极结构具有:界面层;在界面层上方的第二高k介电堆叠件;以及导电层,导电层在第二高k介电堆叠件上方并且与第二高k介电堆叠件物理接触。第一高k介电堆叠件包括第二高k介电堆叠件和第三介电层。本发明实施例还提供一种制造半导体器件的方法。

Description

半导体器件及其制造方法
技术领域
本发明涉及半导体领域,并且更具体地,涉及一种半导体器件及其制造方法。
背景技术
半导体集成电路(IC)工业经历了指数式增长。IC材料和设计的技术进步产生了多代IC,其中,每一代都具有比先前一代更小且更复杂的电路。在IC演进过程中,功能密度(即,单位芯片面积中的互连器件的数量)通常增大了,而几何尺寸(即,使用制造工艺可做出的最小组件(或线))减小了。这种按比例缩小工艺通常通过增加产量效率和降低相关成本来提供很多益处。这种按比例缩小工艺也增大了IC处理和制造的复杂度。
例如,随着按比例缩小尺寸继续,源极/漏极(S/D)结对于短沟道控制变得更加重要,并确定最终的器件性能。因此,需要S/D形成后的低热处理。但是,现有的栅极氧化物工艺通常使用后氧化物退火(POA),其通常是高温且长的热工艺,以产生高质量的栅极氧化物。这个POA工艺有时会危害S/D结的性能。如何形成具有低热工艺和足够可靠性的栅极堆叠件是重要的任务。另一个实例中,由于I/O(输入/输出或IO)器件的工作电压Vdd高于核心器件,因此I/O器件需要较厚的栅极氧化层。如何持续缩小I/O器件的栅极堆叠件是半导体行业面临的挑战。本发明旨在解决上述问题及其它相关问题。
发明内容
根据本发明的一个方面,提供一种半导体器件,包括:衬底;在衬底上方的I/O器件;以及在衬底上方的核心器件,其中,I/O器件包括第一栅极结构,第一栅极结构具有:界面层;在界面层上方的第一高k介电堆叠件;以及导电层,导电层在第一高k介电堆叠件上方并且与第一高k介电堆叠件物理接触,其中,核心器件包括第二栅极结构,第二栅极结构具有:界面层;在界面层上方的第二高k介电堆叠件;以及导电层,导电层在第二高k介电堆叠件上方并且与第二高k介电堆叠件物理接触,以及其中,第一高k介电堆叠件包括第二高k介电堆叠件和第三介电层。
根据本发明的另一方面,提供一种半导体器件,包括:衬底;以及在衬底上方的I/O器件,其中,I/O器件包括第一栅极结构,第一栅极结构具有:界面层,界面层具有8至12埃的厚度;在界面层上方的一个或多个高k介电层;以及导电层,导电层在一个或多个高k介电层上方并且与一个或多个高k介电层物理接触。
根据本发明的另一方面,提供一种制造半导体器件的方法,包括:提供NFET I/O器件结构、NFET核心器件结构、PFET I/O器件结构和PFET核心器件结构,其中,NFET I/O器件结构和PFET I/O器件结构中的每个包括栅极沟槽和在栅极沟槽中暴露的堆叠鳍片,其中,堆叠鳍片包括交替堆叠的第一半导体材料和第二半导体材料,其中,NFET核心器件结构和PFET核心器件结构中的每个包括栅极沟槽和在栅极沟槽中暴露的纳米线;在堆叠鳍片和通过相应的栅极沟槽暴露的纳米线的表面上方沉积界面层;在栅极沟槽中的每个中的界面层上方沉积一个或多个高k介电层;形成覆盖NFET I/O器件结构和PFET I/O器件结构中的一个或多个高k介电层的硬掩模,同时在NFET核心器件结构和PFET核心器件结构中暴露一个或多个高k介电层;部分地去除NFET核心器件结构和PFET核心器件结构中的一个或多个高k介电层,同时硬掩模覆盖NFET I/O器件结构和PFET I/O器件结构中的一个或多个高k介电层,在NFET核心器件结构和PFET核心器件结构中留下一个或多个高k介电层的一部分;从NFET I/O器件结构和PFET I/O器件结构去除硬掩模;以及在NFET I/O器件结构和PFET I/O器件结构中的一个或多个高k介电层上方、以及NFET核心器件结构和PFET核心器件结构中的一个或多个高k介电层的一部分上方沉积一个或多个导电层。
附图说明
当结合附图进行阅读时,从以下详细描述可更好地理解本发明。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1示出了根据本发明的一些方面的用于I/O器件和核心器件的两个栅极堆叠件的示意图。
图2A和图2B示出了根据本发明的一些方面的NFET(n型场效应晶体管)I/O器件的两个截面图。
图3A和图3B示出了根据本发明的一些方面的NFET核心器件的两个截面图。
图4A和图4B示出了根据本发明的一些方面的PFET(p型场效应晶体管)I/O器件的两个截面图。
图5A和图5B示出了根据本发明的一些方面的PFET核心器件的两个截面图。
图6A和图6B示出了根据本发明的一些方面的形成图2A至图5B所示器件的方法的流程图。
图7A和图7B示出了根据本发明的一些方面的通过图6A至图6B的方法制备待处理结构的方法的流程图。
图8A、图8B、图9A、图9B、图10、图11、图12、图13、图14、图15、图16、图17A和图17B示出了根据实施例的图7A至图7B的方法的在制造工艺期间的半导体结构的截面图。
图18、图19、图20、图21、图22、图23、图24、图25和图26示出了根据实施例的图7A至图7B的方法的在制造工艺期间的NFET核心器件、NFET I/O器件、PFET核心器件和PFET I/O器件的截面图。
图27、图28、图29、图30、图31、图32、图33、图34和图35示出了根据实施例的图6A至图6B的方法的在制造工艺期间的NFET核心器件、NFET I/O器件、PFET核心器件和PFET I/O器件的截面图。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现所提供主题的不同部件。以下描述组件和布置的具体实例以简化本发明。当然,这些仅仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。该重复是出于简明和清楚的目的,而其本身并未指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且本文使用的空间相对描述符可以同样地作相应的解释。
本发明通常涉及半导体器件,更具体地涉及一种集成电路(IC),集成电路具有带堆叠鳍式沟道的I/O器件(或晶体管)和带纳米线沟道的核心器件(或晶体管)。本发明的目的是用低热处理形成用于I/O器件和核心器件的栅极堆叠件。这为IC提供了更好的S/D结控制。本发明的另一个目的是在I/O栅极堆叠件和核心栅极堆叠件中形成相同的界面层并且调整界面层上方的一个或多个高k介电层,以实现两个栅极堆叠件中不同的TDDB(栅极电介质击穿)电压。这通过增加CET(电容等效氧化层厚度)缩放窗口来提供性能增益。在讨论如图1至图35的实例的本发明的各个实施例之后,这些和其它益处将变得明显。
参照图1,示出了根据本发明的实施例构造的用于I/O器件的栅极堆叠件(或栅极结构)10A和用于核心器件的另一个栅极堆叠件(或栅极结构)10B。I/O器件在IC的边界处提供输入/输出功能,并且核心器件提供IC内的功能(例如,在核心器件之间,或者在核心器件和I/O器件之间)。在一个示例中,栅极堆叠件10A和10B可以在诸如2nm工艺的先进处理节点中实现。例如,在一个实施例中,I/O栅极堆叠件10A可以在1.0V的电源电压(Vdd)、1.1V的n/p-TDDB(NFET TDDB和PFET TDDB)下提供3.0V的击穿电压(VBD)、以及21埃的CET。核心栅极堆叠件10B提供比I/O栅极堆叠件10A更低的VBD、更低的n/p-TDDB和更薄的CET。
I/O栅极堆叠件10A包括界面层12、直接位于界面层12上方的高k介电堆叠件14A、以及直接位于高k介电堆叠件14A上方且与其物理接触的导电层16。界面层12可包括二氧化硅(SiO2)、氧化铝(Al2O3)、氧化铝硅(AlSiO)、氮氧化硅(SiON)或其它合适的材料。特别地,在本实施例中,界面层12的厚度为8至12埃,比诸如30至40埃的传统的I/O栅极氧化物的厚度更薄。具有薄的界面层12消除了通常用于在传统I/O栅极堆叠件中形成厚栅极氧化物的高温后氧化退火(POA)工艺。高k介电堆叠件14A包括一个或多个高k介电材料(或高k介电材料的一层或多层),例如氧化硅铪(HfSiO)、氧化铪(HfO2)、氧化铝(Al2O3)、氧化锆(ZrO2)、氧化镧(La2O3)、氧化钛(TiO2)、氧化钇(Y2O3)、钛酸锶(SrTiO3)或其组合。导电层16包括一个或多个金属层,例如功函金属层、导电阻挡层和金属填充层。功函金属层可以是取决于器件的类型(PFET或NFET)的p型或n型功函层。p型功函层包括选自但不限于氮化钛(TiN)、氮化钽(TaN)、钌(Ru)、钼(Mo)、钨(W)、铂(Pt)或它们的组合的组的金属。n型功函层包括选自但不限于由钛(Ti)、铝(Al)、碳化钽(TaC)、碳氮化钽(TaCN)、氮硅化钽(TaSiN)、或它们的组合的组的金属。金属填充层可包括铝(Al)、钨(W)、钴(Co)、铜(Cu)和/或其他合适的材料。
核心栅极堆叠件10B包括界面层12、在界面层12正上方的高k介电堆叠件14B、以及在高k介电堆叠件14B正上方并与其物理接触的导电层16。高k介电堆叠件14B包括一个或多个高k介电材料(或高k介电材料的一层或多层),例如氧化硅铪(HfSiO)、氧化铪(HfO2)、氧化铝(Al2O3)、氧化锆(ZrO2)、氧化镧(La2O3)、氧化钛(TiO2)、氧化钇(Y2O3)、钛酸锶(SrTiO3)或其组合。
在本实施例中,高k介电堆叠件14A包括与高k介电堆叠件14B相同的材料层加上一个或多个附加高k介电层15。在一个实例中,高k介电堆叠件14B包括10至20埃的HfO2层,并且高k介电堆叠件14A包括与高k介电堆叠件14B相同的层,并且还包括5至20埃的Al2O3的层(层15)。这将简化在同一IC中形成I/O栅极堆叠件10A和核心栅极堆叠件10B的工艺流程,如稍后将说明。在另一实例中,高k介电堆叠件14B包括在HfSiO层上方的HfO2层,并且高k介电堆叠件14A包括与高k介电堆叠件14B相同的层,并且还包括Al2O3层(层15)。
在另一个实施例中,高k介电堆叠件14A和14B包括相同的材料层,但是高k介电堆叠件14A比高k介电堆叠件14B厚例如5至20埃。例如,高k介电堆叠件14A和14B均可以包括HfO2层,但是高k介电堆叠件14A中的HfO2层比高k介电堆叠件14B中的HfO2层厚5到20埃。可以通过选择性地蚀刻高k介电堆叠件14B来调整高k介电堆叠件14A和14B的厚度差。
图2A至图5B示出了实施栅极堆叠件10A和/或10B的示例性半导体器件。图2A示出了在FinFET中沿着FET沟道的长度或鳍的长度切割的NFET I/O器件100A的截面图(以下称为“X剖视图”)。图2B示出了在FinFET中沿着FET沟道的宽度或鳍的宽度切割的NFET I/O器件100A的截面图(此后称为“Y剖视图”)。图3A和图3B分别示出了X剖视图和Y剖视图中的NFET核心器件100B。图4A和4B分别示出了X剖视图和Y剖视图中的PFET I/O器件100C。图5A和图5B分别示出了X剖视图和Y剖视图中的PFET核心器件100D。
参照图2A和图2B,器件100A包括衬底102和在衬底102上方的堆叠鳍片104A。堆叠鳍片104A包括交替堆叠的第一半导体材料的多层105和第二半导体材料的多层106(因此称为“堆叠鳍片”)。器件100A还包括隔离多个堆叠鳍片104A(图2B中示出两个)的隔离结构103。
本实施例中的衬底102是硅衬底。可选地,衬底102可包括:另一元素半导体,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或它们的组合。在本实施例中,器件100A、100B、100C、100D构建在同一衬底102上。
隔离结构103可包括二氧化硅、氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(FSG)、低k介电材料和/或其它合适的绝缘材料。隔离结构103可以是浅沟槽隔离(STI)部件。诸如场氧化物、硅的局部氧化物(LOCOS)和/或其它合适的结构的其它隔离结构是可能的。隔离结构103可包括多层结构,例如,具有一个或多个热氧化物衬垫层。
第一半导体材料(在层105中)在材料和/或组成上与第二半导体材料(在层106中)不同。第一半导体材料和第二半导体材料中的每一个可包括硅、锗、包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和锑化铟的化合物半导体、包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP的合金半导体。在本实施例中,层105包括硅,并且层106包括锗或硅锗合金。堆叠鳍片104A中的层105和106可额外包括用于改善NFET I/O器件100A性能的掺杂剂。例如,层105可以包括诸如磷或砷的n型掺杂剂,并且层106可以包括诸如硼或铟的p型掺杂剂。
器件100A还包括栅极堆叠件107A和在栅极堆叠件107A的侧壁上的栅极间隔物108。栅极堆叠件107A在器件的沟道区域上(如图2B所示的例如在堆叠鳍片104A的顶部和侧壁上)接合堆叠鳍片104A。栅极堆叠件107A包括界面层120、包括高k介电层122和124的高k介电堆叠件121和导电层126A。在一个实施例中,界面层120、高k介电堆叠件121和导电层126A可以分别使用与图1的界面层12、高k介电堆叠件14A和导电层16相同的材料。例如,界面层120可以包括厚度为8至12埃的二氧化硅(SiO2),高k介电层122可以包括厚度为10至20埃的氧化铪(HfO2),高k介电层124可以包括厚度为5至20埃的氧化铝(Al2O3),并且导电层126A可以包括一个或多个n型功函金属层和金属填充层。高k介电层122和124中的每一个可以包括一层或多层材料。在本实施例中,界面层120和高k介电堆叠件121在堆叠鳍片104A的顶部和侧壁上以及在栅极间隔物108的侧壁上形成为共形层。
器件100A还包括S/D部件110A,其部分地嵌入在堆叠鳍片104A中并且与栅极间隔物108相邻,以及在S/D部件110A上方和栅极间隔物108之间的介电层112,114和116。
栅极间隔物108包括介电材料,例如二氧化硅、氮化硅、氮氧化硅、碳化硅、其它介电材料或其组合。在一个实施例中,S/D部件110A可以包括n型掺杂硅,例如n型掺杂的外延生长硅。介电层112可以包括氮化硅、氮氧化硅、具有氧(O)或碳(C)元素的氮化硅和/或其它材料。介电层114可以包括四乙基原硅酸盐(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的二氧化硅(诸如硼磷硅酸盐玻璃(BPSG)、熔融石英玻璃(FSG)、磷硅玻璃(PSG)、硼掺杂硅玻璃(BSG))和/或其它合适的介电材料。介电层116可以包括诸如氮化硅的氮化物,用于在各种蚀刻工艺期间保护介电层114,这将在后面说明。
参照图3A和图3B,示出了NFET核心器件100B的截面图。器件100B的许多方面与器件100A的许多方面的相同或类似。例如,本实施例中的器件100B还包括衬底102、隔离结构103、栅极间隔物108、S/D部件110A以及介电层112,114和116。与器件100A不同,器件100B包括纳米线沟道104B。在本实施例中,纳米线沟道104B包括第一半导体材料的纳米线(由层105产生),同时层106从器件100B的沟道区域中去除。值得注意的是,在器件100B的S/D区域中,层105和106仍然交替堆叠。器件100A和100B之间的另一个区别在于器件100B包括被设计用于核心器件100B的栅极堆叠件107B。栅极堆叠件107B包括界面层120、高k介电层122(可以包括一层或多层的高k介电材料)和导电层126A。在一个实施例中,界面层120、高k介电层122和导电层126A可以分别使用与图1的界面层12、高k介电堆叠件14B和导电层16相同的材料。栅极堆叠件107B具有比栅极堆叠件107A(图2A至图2B)更薄的在界面层120和导电层126A之间的高k介电堆叠件或更少的高k介电层。栅极堆叠件107B接合纳米线沟道104B,例如,通过围绕纳米线沟道104B的纳米线,如图3B所示。在本实施例中,沟道104A(图2A至图2B)具有堆叠鳍片而不是纳米线(例如沟道104B)的一个原因是器件100A可以具有相对较厚的介电叠层(包括界面层120和高k介电层122和124),否则可能太厚以至于不能装入相邻纳米线之间的空间。
参照图4A和4B,示出了PFET I/O器件100C的截面图。器件100C的许多方面与器件100A的许多方面相同或类似。例如,器件100C还包括衬底102、隔离结构103、栅极间隔物108以及介电层112,114和116。器件100C包括具有交替堆叠的层105和106的堆叠鳍片104C。堆叠鳍片104C中的层105和106可额外包括用于改善PFET I/O器件100C性能的掺杂剂。器件100C包括栅极堆叠件107C,栅极堆叠件107C包括界面层120、高k介电堆叠件121和导电层126C,它们可以分别使用与图1的界面层12、高k介电堆叠件14A以及导电层16相同的材料。不同于导电层126A,导电层126C被设计用于PFET器件100C,例如通过包括一个或多个p型功函金属层。器件100C还包括为PFET器件100C设计的S/D部件110C,例如通过包括p型掺杂的硅锗(例如p型掺杂的外延生长的硅锗)。
参照图5A和图5B,示出了PFET核心器件100D的截面图。器件100D的许多方面与器件100C的许多方面相同或类似。例如,器件100D还包括衬底102、隔离结构103、栅极间隔物108、S/D部件110C以及介电层112,114和116。与器件100C不同,器件100D包括纳米线沟道104D。在本实施例中,纳米线沟道104D包括第二半导体材料的纳米线(由层106产生),同时层105从器件100D的沟道区域中去除。值得注意的是,在器件100D的S/D区域中,层105和106仍然交替堆叠。器件100C和100D之间的另一个区别在于器件100D包括被设计用于核心器件100D的栅极堆叠件107D。栅极堆叠件107D包括界面层120、高k介电层122(可以包括一层或多层高k介电材料)和导电层126C。在一个实施例中,界面层120、高k介电层122和导电层126C可以分别使用与图1的界面层12、高k介电堆叠件14B和导电层16相同的材料。栅极堆叠件107D具有比栅极堆叠件107C更薄的在界面层120和导电层126C之间的高k介电堆叠件或更少的高k介电层。栅极堆叠件107D接合纳米线沟道104D,例如通过围绕纳米线沟道104D的纳米线,如图5B所示。在本实施例中,沟道104C(图4A至图4B)具有堆叠鳍片而不是纳米线(例如沟道104D)的一个原因是器件100C可以具有相对较厚的介电叠层(包括界面层120和高k介电层122和124),否则可能太厚以至于不能装入相邻纳米线之间的空间。
图6A至图6B示出了在同一IC中形成器件100A、100B、100C和100D的方法200的流程图。图7A至图7B示出了为方法200提供初始结构的方法300的流程图。方法200和300仅仅是实例,并不旨在限制本发明超出权利要求中明确列举的那些。可以在每个方法200和300之前,期间和之后提供额外的操作,并且所描述的一些操作可以更换、排除或移动用于该方法的其它实施例。以下结合图8A至图35来描述方法200和300。
在操作202,方法200(图6A)提供了如图26所示的包括NFET I/O器件结构100A、NFET核心器件结构100B、PFET I/O器件结构100C和PFET核心器件结构100D的结构(或器件结构)。参考图26,为了简单起见,四个器件结构以两行三列显示。顶行示出了NFET器件结构100A和100B的截面图,底行示出了PFET器件结构100C和100D的截面图。最左边的列在X剖视图示出了NFET核心器件结构100B和PFET核心器件结构100D。中间列在Y剖视图示出了NFET核心器件结构100B和PFET核心器件结构100D。最右侧的列在Y剖视图示出了NFET I/O器件结构100A和PFET I/O器件结构100C。器件结构100A和100C的X剖视图未在图26(以及在图18至图25和图27至图35)中提供,但是本领域普通技术人员可以从例如图2A和图4A得到这些视图。
仍然参考图26,器件结构100A、100B、100C和100D中的每一个包括衬底102、隔离结构103、栅极间隔物108以及介电层112,114和116。四个器件结构中的每一个还包括栅极沟槽166,栅极沟槽166具有以栅极间隔物108作为侧壁并露出各个器件结构的沟道区域。I/O器件结构100A和100C分别包括堆叠鳍片沟道104A和104C,并且堆叠鳍片沟道104A和104C中的每一个具有交替堆叠的层105和106。NFET核心器件结构100B包括具有纳米线105的纳米线沟道104B。PFET核心器件结构100D包括具有纳米线106的纳米线沟道104D。在本实施例中,部件105包括硅(例如晶体结构的硅),并且可以掺杂有诸如磷或砷的n型掺杂剂。此外,部件106包括锗(例如晶体结构中的锗或硅锗合金),并且可以掺杂有诸如硼或铟的p型掺杂剂。堆叠鳍片沟道104A和104C以及纳米线沟道104B和104D的外表面暴露在相应的栅极沟槽166中。NFET器件结构100A和100B包括n型S/D部件110A,并且PFET器件结构100C和100D包括p型S/D部件110C。
从初始衬底形成图26所示的器件结构涉及多个工艺,其实施例结合图8A至图25在图7A和图7B中示出。
参照7A,在操作302中,方法300提供具有NFET I/O器件结构、NFET核心器件结构、PFET I/O器件结构和PFET核心器件结构的结构。每个器件结构包括堆叠鳍片沟道、接合堆叠鳍片沟道的伪栅极、在伪栅极的侧壁上的栅极间隔物以及与栅极间隔物相邻的S/D部件。操作302还涉及多个工艺,如图8A至图16所示。
参照图8A(X剖视图)和图8B(Y剖视图),提出了一种器件结构100,其可以是NFETI/O器件结构100A、NFET核心器件结构100B、PFETI/O器件结构100C以及PFET核心器件结构100D的任一个。器件结构100包括衬底102、衬底102上方的堆叠鳍片104(示出了两个)以及横向隔离鳍片104的隔离结构103。堆叠鳍片104具有交替堆叠的层105和106。可以通过在衬底102的整个区域上方外延生长层105和106,然后被图案化以形成单个鳍片104,从而形成堆叠鳍片104。鳍片104可以通过任何合适的方法图案化。例如,可以使用一个或多个光刻工艺(包括双图案化或多图案化工艺)来图案化鳍片104。通常,双图案化或多图案化工艺结合光刻和自对准工艺,从而允许待建立图案具有的间距比使用诸如单个直接光刻工艺可获得的间距更小。例如,在一个实施例中,在衬底上方形成牺牲层并使用光刻工艺进行图案化。使用自对准工艺在图案化牺牲层侧壁形成间隔物。然后去除牺牲层,然后通过蚀刻初始层105和106可以用剩余间隔物或芯轴来图案化鳍片104。蚀刻工艺可以包括干蚀刻、湿蚀刻、反应离子蚀刻(RIE)和/或其它合适的工艺。
参照图9A(X剖视图)和图9B(Y剖视图),操作302进一步在鳍片104上方依次堆叠形成伪界面层150、伪栅电极152、第一硬掩模层154和第二硬掩模层156。操作302还在层150,152,154和156的侧壁上方形成栅极间隔物108。伪界面层150可以包括诸如二氧化硅层(例如SiO2)或氮氧化硅(例如SiON)的介电材料,并且可以通过化学氧化、热氧化、原子层沉积(ALD)、化学气相沉积(CVD)和/或其它合适的方法。伪栅电极152可以包括多晶硅(多晶-Si)且可以通过诸如低压化学汽相沉积(LPCVD)和等离子体增强CVD(PECVD)的合适的沉积工艺形成。每个硬掩模层154和156可以包括诸如二氧化硅和/或氮化硅的一层或多层介电材料,并且可以通过CVD或其它合适的方法形成。可以通过光刻和蚀刻工艺对各个层150,152,154和156进行图案化。栅极间隔物108可以包括介电材料,例如二氧化硅、氮化硅、氮氧化硅、碳化硅、其它介电材料或其组合,并且可以包括一层或多层材料。栅极间隔物108可以通过在隔离结构103、鳍片104和伪栅极堆叠件150/152/154/156上方沉积作为覆盖层的间隔物材料来形成。然后通过各向异性蚀刻工艺蚀刻间隔材料,以暴露隔离结构103、硬掩模层156以及鳍片104的顶面。在伪栅极堆叠件150/152/154/156的侧壁上的间隔物材料的部分成为栅极间隔物108。相邻的栅极间隔物108提供了露出器件的S/D区域中的鳍片104的沟槽158。
参考图10(X剖视图),操作302在S/D区域中形成S/D部件110。例如,操作302可以对沟槽158中露出的鳍片104蚀刻凹陷,并且在凹陷内外延生长半导体材料。如图10所示,半导体材料可以高于鳍片104的顶面上方。操作302可以分别为NFET和PFET器件形成S/D部件110。例如,操作302可以形成具有用于NFET器件(例如,图2A、图3A和图26的110A)的n型掺杂硅以及用于PFET器件(例如,图4A、图5A和图26的110C)的p型掺杂硅锗的S/D部件110。
参照图11(X剖视图),操作302形成介电层112和114。介电层112可以包括氮化硅、氮氧化硅、具有氧(O)或碳(C)元素的氮化硅和/或其它材料;并且可以通过CVD、PVD(物理气相沉积)、ALD或其它合适的方法形成。介电层114可以包括四乙基原硅酸盐(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的二氧化硅(诸如硼磷硅酸盐玻璃(BPSG)、熔融石英玻璃(FSG)、磷硅玻璃(PSG)、硼掺杂硅玻璃(BSG))和/或其它合适的介电材料。介电层114可以通过PECVD或FCVD(可流动CVD)或其它合适的方法形成。
参照图12(X剖视图),操作302执行回蚀刻工艺或CMP(化学机械抛光)工艺以去除硬掩模层156并暴露硬掩模层154。参照图13(X剖视图),操作302执行抛光CMP工艺以去除硬掩模层154并暴露伪栅电极152。参照图14(X剖视图),操作302对介电层114执行回蚀刻工艺,以凹进到栅极间隔物108的顶面下方。参照图15(X剖视图),操作302沉积介电层116,介电层116可以包括诸如氮化硅的氮化物,以在随后的蚀刻工艺期间保护介电层114。参照图16(X剖视图),操作302执行CMP工艺以平坦化器件结构100的顶面。
在操作304中,方法300(图7A)去除伪栅极电极152,导致栅极沟槽166(图17A至图17B)。操作304可以包括对伪栅电极152中的材料有选择性的一个或多个蚀刻工艺。所得结构100如图17A(X剖视图)和图17B(Y剖视图)所示,其中伪界面层150暴露在栅极沟槽166中。
在操作306中,如图18所示,方法300(图7A)形成覆盖NFET I/O器件结构100A、PFETI/O器件结构100C和PFET核心器件结构100D的蚀刻掩模168。如上所述,在此制造阶段的器件结构100A,100B,100C和100D可以通过如图8A至图17B所示的操作302和304来制备,器件结构100可以是器件结构100A,100B,100C和100D中的任一个。在一个实例中,蚀刻掩模168可以是通过光刻胶涂覆、曝光、曝光后烘烤和显影形成的图案化光刻胶。NFET核心器件结构100B通过蚀刻掩模168曝光。
在操作308中,方法300(图7A)通过诸如湿蚀刻、干蚀刻、反应离子蚀刻或其它合适的蚀刻方法从NFET核心器件结构100B去除伪界面层150。例如,操作308可以施加用于湿蚀刻的基于HF的湿蚀刻剂或用于干蚀刻的NH3和H2的混合物。在此操作期间,蚀刻掩模168覆盖NFET I/O器件结构100A、PFET I/O器件结构100C和PFET核心器件结构100D。
在操作310中,方法300(图7A)通过诸如灰化或剥离去除蚀刻掩模168。所得的器件结构如图19所示。参考图19,堆叠鳍片104B暴露在NFET核心器件结构100B中的栅极沟槽166中,并且伪界面层150暴露在其它器件结构100A,100C和100D中的栅极沟槽166中。
在操作312中,如图20所示,方法300(图7A)在NFET核心器件结构100B中形成纳米线150。在一个实施例中,鳍片104B可以包括在鳍片104B的表面上的界面控制层(例如硅帽)。为了进一步该本实施例,操作312包括用于去除界面控制层的步骤,例如通过用NH4OH或TMAH基蚀刻剂进行湿蚀刻,或者用NH3和H2气体混合物进行干蚀刻。在本实施例中,层105包括硅,并且层106包括硅锗。为了进一步该实施例,操作312包括干蚀刻工艺,以从器件结构100B的沟道区域中选择性地去除层106。例如,干蚀刻工艺可以在500至700℃的温度下施加HCl气体,或者应用CF4、SF6和CHF3的气体混合物。由于伪界面层150覆盖鳍片104A,104C和104D,所以操作312仅在器件结构100B中形成纳米线105。
在操作314中,如图21所示,方法300(图7A)形成覆盖各个器件结构100A,100B,100C和100D的钝化层170。在一个实施例中,钝化层170包括在氧化物层上方的氮化物层。例如,氧化物层可以包括二氧化硅(SiO2)、氧化铝(Al2O3)、氧化硅铝(AlSiO)、氧化硅铪(HfSiO)和其它类型的氧化物;并且氮化物层可以包括氮化硅(Si3N4)、氮氧化硅(SiON)、碳氮化硅(SiCN)、碳氮氧化硅(SiCON)和其它类型的氮化物。钝化层170中的每个层可以通过CVD、PVD、ALD或其它合适的沉积方法形成。
在操作316中,如图22所示,方法300(图7B)形成覆盖NFET I/O器件结构100A、NFET核心器件结构100B和PFET I/O器件结构100C的蚀刻掩模172。PFET核心器件结构100D通过蚀刻掩模172曝光。蚀刻掩模172可以是类似于蚀刻掩模168的图案化光刻胶。
在操作318中,方法300(图7B)从PFET核心器件结构100D去除钝化层170,从而露出其中的伪界面层150。在实施例中,操作318可以包括一个或多个蚀刻工艺,以去除钝化层170。例如,操作318可以用具有H3PO4的湿蚀刻剂去除钝化层170中的氮化物层,然后用具有基于HF的溶液(例如,HF和NH4F的混合物),NH4OH或TMAH以去除钝化层170中的氧化物层。此外,操作318可以应用干蚀刻(例如,使用NH3和H2气体混合物)而不是湿蚀刻以去除钝化层170中的氧化物层。
在操作320中,方法300(图7B)从各个结构中去除蚀刻掩模172。在实施例中,操作320可以应用灰化工艺或剥离工艺以去除蚀刻掩模172。在操作318和320之后,所得到的器件结构如图23所示。
在操作322中,方法300(图7B)通过诸如湿蚀刻、干蚀刻、反应离子蚀刻或其它合适的蚀刻方法从PFET核心器件结构100D去除伪界面层150,类似于操作308。在此操作期间,钝化层170覆盖NFET I/O器件结构100A、NFET核心器件结构100B和PFET I/O器件结构100C。
在操作324中,方法300(图7B)在PFET核心器件结构100D中形成纳米线。在一个实施例中,鳍片104D可以包括在鳍片104D的表面上的界面控制层(例如硅帽)。为了进一步此实施例,操作324包括用于去除界面控制层的步骤,如参考操作312所讨论的。在本实施例中,层105包括硅,并且层106包括硅锗。为了进一步此实施例,操作324可以包括干蚀刻工艺,以从器件结构100D的沟道区域中选择性地去除层105。例如,干蚀刻工艺可以应用NH3和H2的气体混合物。或者,操作324可以包括湿蚀刻工艺,以从器件结构100D的沟道区域中选择性地去除层105。例如,湿蚀刻工艺可以应用基于NH4OH或TMAH的湿蚀刻剂。在操作322和324之后,所得到的器件结构如图24所示。
在操作326中,方法300(图7B)通过诸如使用操作318所讨论的方法从各个结构去除钝化层170。所得的器件结构如图25所示。
在操作328中,类似于操作308,方法300(图7B)从NFET I/O器件结构100A和PFETI/O器件结构100C去除伪界面层150。操作328应用选择性蚀刻工艺,蚀刻剂选择性地去除伪界面层150,同时保持部件104A,104C,105和106基本上完好无损。所得的器件结构如图26所示。
在操作204中,方法200(图6A)在栅极沟槽166中形成界面控制层174。参考图27,在本实施例中,界面控制层174形成在堆叠鳍片沟道104A和104C以及纳米线104B和104D上方。界面控制层174也可以直接沉积在隔离结构103、栅极间隔物108以及各个结构100A,100B,100C和100D的顶面上方。在一个实施例中,界面控制层174可以包括硅并且可以通过CVD外延形成。在另一个实施例中,界面控制层174可以包含Si-S(硅-硫)键和SiGe-S(硅锗-硫)键,并且可以通过用含硫化学物质处理各种表面形成。在另一个实施例中,界面控制层174可以包括Si-N(硅-氮)键和SiGe-N(硅锗-氮)键,并且可以通过用含氮化学物质(例如NH3气)处理各种表面而形成。在各个实施例中,界面控制层174可以形成为具有小于1nm的厚度。界面控制层174有助于改善各个表面的平坦度,用于随后沉积界面层120。在方法200的一些实施例中,操作204是可选的并且可以被忽略。
在操作206中,方法200(图6A)在栅极沟槽166(图28)中的界面控制层174上方沉积界面层120。在操作208中,方法200(图6A)在界面层120(图28)上方沉积高k介电堆叠件121(其包括一个或多个高k介电层)。参照图28,在器件结构100A和100C中,在堆叠散鳍片104A和104C的上表面和侧壁表面上方、在隔离结构103的顶面上方、在栅极间隔物108的侧壁上沉积界面层120和高k介电堆叠件121。在器件结构100B和100D中,围绕纳米线104B和104D的表面,在隔离结构103的顶面上方,并且在栅极间隔物108的侧壁上沉积界面层120和高k介电堆叠件121。在本实施例中,界面层120和高k介电层121被基本上沉积为共形层。
界面层120可以包括二氧化硅(SiO2)、氧化铝(Al2O3)、氧化硅铝(AlSiO)、氮氧化硅(AlSiO)或其它合适的材料,并且可以使用化学氧化、热氧化、原子层沉积(ALD)、化学气相沉积(CVD)和/或其它合适的方法沉积。特别地,在本实施例中,界面层120的厚度为8至12埃。
高k介电堆叠件121包括一层或多层高k介电材料。在所示的实施例中,高k介电堆叠件121包括不同的高k介电材料的两层122和124。两层122和124中的每一个可以包括高k介电材料,例如氧化硅铪(HfSiO)、氧化铪(HfO2)、氧化铝(Al2O3)、氧化锆(ZrO2)、氧化镧(La2O3)、氧化钛(TiO2)、氧化钇(Y2O3)和钛酸锶(SrTiO3)。在特定实施例中,层122包括10至20埃的氧化铪(HfO2),并且层124包括5至20埃的氧化铝(Al2O3)。在另一个实施例(未示出)中,高k介电堆叠件121包括三层不同的高k介电材料,例如在HfSiO层上的HfO2层,在HfO2层上的Al2O3层。在另一个实施例中,高k介电堆叠件121仅具有单层高k介电材料,例如30至40埃的HfO2层。可以使用CVD、ALD和/或其它合适的方法来沉积高k介电堆叠件121。
在操作210中,如图29所示,方法200(图6A)形成覆盖器件结构100A,100B,100C和100D的硬掩模176。在一个实施例中,硬掩模176可以包括诸如氮化钛(TiN)的金属氮化物,并且可以使用CVD,PVD,ALD或其它合适的方法进行沉积。
在操作212中,方法200(图6A)形成覆盖NFET I/O器件结构100A和PFET I/O器件结构100C的蚀刻掩模178,留下NFET核心器件结构100B和PFET核心器件结构100D通过蚀刻掩模178暴露。参照图30,在本实施例中,蚀刻掩模178可以是在一个实例中通过光刻胶涂覆、曝光、曝光后烘烤和显影形成的图案化光刻胶。在本实施例中,硬掩模176防止光刻胶178直接接触高k介电堆叠件121,因为这种直接接触可能将缺陷引入到高k介电堆叠件121中。
在操作214中,方法200(图6A)从NFET核心器件结构100B和PFET核心器件结构100D去除硬掩模176。操作214可以使用例如基于HF的酸性溶液、基于H2O2的溶液、硫酸过氧化物混合物(SPM)或其它氧化剂来除去硬掩模176。所得到的器件结构如图31所示,其中高k介电堆叠件121暴露在器件结构100B和100D中。
在操作216中,方法200(图6B)从NFET I/O器件结构100A和PFETI/O器件结构100C去除蚀刻掩模178。在一个实例中,操作216可以用灰化或剥离去除蚀刻掩模178。所得到的器件结构如图32所示,其中高k介电堆叠件121在器件结构100B和100D中暴露,并且硬掩模176覆盖器件结构100A和100C。
在操作218中,方法200(图6B)部分地去除在NFET核心器件结构100B和PFET核心器件结构100D中的高k介电堆叠件121,并且硬掩模176保护器件结构100A和100C。参照图33,高k介电堆叠件121部分地从器件结构100B和100D去除(在此实例中,层124被去除)。在一个实施例中,通过操作218去除高k介电堆叠件121中的一个或多个最上层。为了进一步此实施例,操作218应用一个或多个蚀刻工艺以选择性地去除一个或多个最上层,并且保持其它层完整。在一个实例中,高k介电堆叠件121在HfO2层上方的Al2O3层。操作218可以应用具有DHF(稀释氢氟酸)或HF和NH4F的混合物的湿蚀刻剂来选择性地去除Al2O3层,其中,HfO2层对这种蚀刻剂具有良好的抵抗性。在另一个实施例中,高k介电堆叠件121中的最顶层仅由操作218部分去除。在未示出的实例中,高k介电堆叠件121是单层的HfO2。操作218可以应用湿蚀刻工艺、干蚀刻工艺、反应离子蚀刻工艺或原子层蚀刻工艺,以将HfO2的单层部分地凹进例如5至20埃。操作218可以通过定时器或使用其它合适的方法来控制蚀刻的深度。
在操作220中,方法200(图6B)从NFET I/O器件结构100A和PFETI/O器件结构100C去除硬掩模176。所得到的器件结构如图34所示,高k介电堆叠件121在I/O器件结构100A和100C中暴露,并且部分高k介电堆叠件121在核心器件结构100B和100D中暴露(在此实例的层122)。在本实施例中,操作220应用蚀刻剂选择性地去除硬掩模176,同时保持层124(在I/O器件结构100A和100C中)和层122(在核心器件结构100B和100D中)基本完整。在一个实例中,硬掩模176包括氮化钛,并且操作220可以应用基于DHF或基于H2O2的蚀刻剂以选择性地去除硬掩模176。
在操作222中,方法200(图6B)在栅极沟槽166中沉积一个或多个导电层。参照图35,一个或多个导电层126A和126C被填充到栅极沟槽166(图34)中并且在高k介电层124和122的正上方。对于NFET器件结构100A和100B,导电层126A可以包括一个或多个n型功函金属和金属填充层。对于PFET器件结构100C和100D,导电层126C可以包括一个或多个p型功函金属和金属填充层。NFET和PFET器件结构中的金属填充层可以使用相同的材料。操作222可以包括多个沉积和蚀刻工艺,以为相应的NFET和PFET器件结构沉积导电层126A和126C。n型功函层包括具有充分低的有效功函数的金属,该金属选自但不限于由钛(Ti)、铝(Al)、碳化钽(TaC)、碳氮化钽(TaCN)、氮硅化钽(TaSiN)、或它们的组合的组。p型功函层包括具有充分大的有效功函数的金属,该金属选自但不限于氮化钛(TiN)、氮化钽(TaN)、钌(Ru)、钼(Mo)、钨(W)、铂(Pt)或者它们的组合的组。功函金属层可以包括多个层并且可以通过CVD、PVD和/或其他合适的工艺沉积。金属填充层可以包括铝(Al)、钨(W)、钴(Co)、铜(Cu)和/或其它合适的材料;并且可以通过CVD,PVD,镀覆和/或其它合适的工艺形成。操作222可以执行CMP工艺以从器件结构100A,100B,100C和100D去除多余的材料,以平坦化各个器件的顶面。
方法200(图6B)可以执行进一步的操作以形成最终器件。例如,方法200可以形成电连接S/D部件110A/C和导电层126A/C的接触件和通孔,并形成连接各个晶体管的金属互连件以形成完整的IC。
虽然不旨在限制,但是本发明的一个或多个实施例对半导体器件及其形成提供许多益处。例如,本发明的实施例形成金属栅极叠件而不执行高温后氧化退火(POA)工艺。这有利地改善了S/D结控制。此外,根据本实施例的金属栅极堆叠件具有非常薄(例如8至12埃)的二氧化硅界面层,支持I/O晶体管的连续缩小。此外,本发明的实施例在I/O晶体管和核心晶体管中形成相同的初始高k介电层,并且从核心晶体管中选择性地去除一些高k介电层,以实现I/O和核心晶体管中不同的特性(如TDDB和VBD)。这简化了IC制造工艺。
在一个示例性方面,本发明涉及一种半导体器件。一种半导体器件,包括:衬底;I/O器件,在所述衬底上方;以及核心器件,在所述衬底上方。所述I/O器件包括第一栅极结构,具有:界面层;第一高k介电堆叠件,在所述界面层上方;以及导电层,在所述第一高k介电堆叠件上方并且与所述第一高k介电堆叠件物理接触。所述核心器件包括第二栅极结构,具有:界面层;第二高k介电堆叠件,在所述界面层上方;以及导电层,在所述第二高k介电堆叠件上方并且与所述第二高k介电堆叠件物理接触。所述第一高k介电堆叠件包括第二高k介电堆叠件和第三介电层。
在所述半导体器件的实施例中,所述界面层包括具有范围是8至12埃厚度的二氧化硅(SiO2)。在另一实施例中,所述第一高k介电堆叠件比所述第二高k介电堆叠件厚5至20埃。在又一实施例中,所述第三介电层的介电常数大于二氧化硅(SiO2)的介电常数,并且低于所述第二高k介电堆叠件的介电常数。在另一实施例中,所述第二高k介电堆叠件的介电常数为15至30。
在所述半导体器件的实施例中,所述界面层包括具有厚度范围是8至12埃的二氧化硅(SiO2);所述第二高k介电堆叠件包括具有厚度范围是10至20埃的氧化铪(HfO2);以及所述第三介电层包括厚度范围是5至20埃的氧化铝(Al2O3)。在另一实施例中,所述第一高k介电堆叠件和所述第二高k介电堆叠件具有基本上相等的介电常数。
在所述半导体器件的另一实施例中,所述I/O器件包括在所述第一栅极结构下方的第一沟道,所述第一沟道具有交替堆叠的第一和第二半导体材料。在又一实施例中,所述核心器件包括由所述第二栅极结构围绕的第二沟通,所述第二沟道具有所述第一半导体材料。在又一实施例中,所述第一半导体材料包括硅、锗或硅锗合金。
在另一示例性方面中,本发明涉及一种半导体器件。一种半导体器件,包括衬底;以及I/O器件,在所述衬底上方。所述I/O器件包括第一栅极结构,具有:界面层,具有8至12埃的厚度;一个或多个高k介电层,在所述界面层上方;以及导电层,在所述一个或多个高k介电层上方并且与所述一个或多个高k介电层物理接触。
其中,所述界面层包括二氧化硅(SiO2)、氧化铝(Al2O3)、氧化硅铝(AlSiO)或氮氧化硅(SiON)。在另一实施例中,所述一个或多个高k介电层包括氧化硅铪(HfSiO)、氧化铪(HfO2)、氧化铝(Al2O3)、氧化锆(ZrO2)、氧化镧(La2O3)、氧化钛(TiO2)、氧化钇(Y2O3)、钛酸锶(SrTiO3)或其组合。
在所述半导体器件的实施例中,所述I/O器件还包括在所述第一栅极结构下方的第一沟道,所述第一沟道具有半导体材料的堆叠层。在又一实施例中,所述半导体材料的堆叠层包括交替堆叠的多层硅和多层硅锗。
在实施例中,所述半导体器件结构还包括在所述衬底上方的核心器件。所述核心器件包括第二栅极结构,具有:界面层;另一个或多个高k介电层,在所述界面层上方;以及导电层,在所述另一个或多个高k介电层上方并且与所述另一个或多个高k介电层物理接触。所述一个或多个高k介电层包括所述另一个或多个高k介电层和至少一个附加介电层。
在又一实施例中,所述半导体器件结构还包括在所述衬底上方的核心器件。所述核心器件包括第二栅极结构,具有:界面层;另一个或多个高k介电层,在所述界面层上方;以及导电层,在所述另一个或多个高k介电层上方并且与所述另一个或多个高k介电层物理接触。所述一个或多个高k介电层比所述另一个或多个高k介电层厚5至20埃。
在另一示例性方面中,本发明涉及一种方法。所述方法包括提供NFETI/O器件结构、NFET核心器件结构、PFET I/O器件结构和PFET核心器件结构,其中,所述NFET I/O器件结构和所述PFET I/O器件结构中的每一个包括栅极沟槽和在所述栅极沟槽中暴露的堆叠鳍片,其中,所述堆叠鳍片包括交替堆叠的第一和第二半导体材料,其中,所述NFET核心器件结构和所述PFET核心器件结构中的每一个包括栅极沟槽和在所述栅极沟槽暴露的纳米线。所述方法还包括在所述堆叠鳍片和通过各个栅极沟槽暴露的所述纳米线的表面上方沉积界面层;在所述栅极沟槽中的每一个所述界面层上方沉积一个或多个高k介电层;以及形成覆盖所述NFET I/O器件结构和所述PFET I/O器件结构中的所述一个或多个高k介电层的硬掩模,并且在所述NFET核心器件结构和所述PFET核心器件结构中暴露所述一个或多个高k介电层。所述方法还包括部分去除所述NFET核心器件结构和所述PFET核心器件结构中的所述一个或多个高k介电层,并且所述硬掩模覆盖所述NFET I/O器件结构和所述PFET I/O器件结构中的所述一个或多个高k介电层,同时在所述NFET核心器件结构和所述PFET核心器件结构中留下所述一个或多个高k介电层的一部分;所述方法还包括从所述NFET I/O器件结构和所述PFET I/O器件结构去除所述硬掩模;以及在所述NFET I/O器件结构和所述PFET I/O器件结构中的一个或多个高k介电层上方,以及所述NFET核心器件结构和所述PFET核心器件结构中的所述一个或多个高k介电层的一部分上方,沉积一个或多个导电层。
在所述方法的实施例中,所述一个或多个高k介电层包括第一高k介电层和在所述第一高k介电层上方的第二高k介电层。在又一实施例中,所述部分去除所述一个或多个高k介电层完全去除所述第二高k介电层。
在一个实施例中,所述方法还包括在堆叠鳍片的表面和通过相应的栅极沟槽暴露的纳米线上方形成界面控制层,其中,所述界面层沉积在所述界面控制层上方。
在所述方法的另一实施例中,所述形成所述硬掩模包括在所述栅极沟槽的每一个中的所述一个或多个高k介电层上方形成硬掩模层;形成覆盖在所述NFET I/O器件结构和所述PFET I/O器件结构中的所述硬掩模层的抗蚀剂掩模,同时在所述NFET核心器件结构和所述PFET核心器件结构中露出所述硬掩模层;并且去除在所述NFET核心器件结构和所述PFET核心器件结构中的所述硬掩模层,同时所述抗蚀剂掩模覆盖所述NFET I/O器件结构和所述PFET I/O器件结构中的所述硬掩模层。
在又另一示例性方面中,本发明涉及一种半导体器件。一种半导体器件,包括衬底;以及I/O器件,在所述衬底上方。所述I/O器件包括具有交替堆叠的两种半导体材料的堆叠鳍片沟道。所述I/O器件还包括与所述堆叠鳍片沟道接合的第一栅极结构。所述第一栅极结构包括:界面层,具有8至12埃的厚度;第一高k介电堆叠件,在所述界面层上方;以及导电层,在所述第一高k介电堆叠件上方并且与所述第一高k介电堆叠件物理接触。
在所述半导体器件的实施例中,所述第一高k介电堆叠件包括在所述HfO2层上方的氧化铪(HfO2)层和氧化铝(Al2O3)层。在又一实施例中,所述半导体器件还包括在所述界面层和所述HfO2层之间的氧化硅铪层。
根据本发明的一个方面,提供一种半导体器件,包括:衬底;在衬底上方的I/O器件;以及在衬底上方的核心器件,其中,I/O器件包括第一栅极结构,第一栅极结构具有:界面层;在界面层上方的第一高k介电堆叠件;以及导电层,导电层在第一高k介电堆叠件上方并且与第一高k介电堆叠件物理接触,其中,核心器件包括第二栅极结构,第二栅极结构具有:界面层;在界面层上方的第二高k介电堆叠件;以及导电层,导电层在第二高k介电堆叠件上方并且与第二高k介电堆叠件物理接触,以及其中,第一高k介电堆叠件包括第二高k介电堆叠件和第三介电层。
根据本发明的一个实施例,界面层包括具有8至12埃的厚度范围的二氧化硅(SiO2)。
根据本发明的一个实施例,第一高k介电堆叠件比第二高k介电堆叠件厚5至20埃。
根据本发明的一个实施例,第三介电层的介电常数大于二氧化硅(SiO2)的介电常数且低于第二高k介电堆叠件的介电常数。
根据本发明的一个实施例,第二高k介电堆叠件的介电常数在15至30的范围内。
根据本发明的一个实施例,界面层包括具有8至12埃的厚度范围的二氧化硅(SiO2);第二高k介电堆叠件包括具有10至20埃的厚度范围的氧化铪(HfO2);以及第三介电层包括具有5至20埃的厚度范围的氧化铝(Al2O3)。
根据本发明的一个实施例,第一高k介电堆叠件和第二高k介电堆叠件具有相等的介电常数。
根据本发明的一个实施例,I/O器件包括在第一栅极结构下方的第一沟道,第一沟道具有交替堆叠的第一半导体材料和第二半导体材料。
根据本发明的一个实施例,核心器件包括由第二栅极结构围绕的第二沟通,第二沟道具有第一半导体材料。
根据本发明的一个实施例,第一半导体材料包括硅、锗或硅锗合金。
根据本发明的另一方面,提供一种半导体器件,包括:衬底;以及在衬底上方的I/O器件,其中,I/O器件包括第一栅极结构,第一栅极结构具有:界面层,界面层具有8至12埃的厚度;在界面层上方的一个或多个高k介电层;以及导电层,导电层在一个或多个高k介电层上方并且与一个或多个高k介电层物理接触。
根据本发明的一个实施例,界面层包括二氧化硅(SiO2)、氧化铝(Al2O3)、氧化硅铝(AlSiO)或氮氧化硅(SiON)。
根据本发明的一个实施例,一个或多个高k介电层包括氧化硅铪(HfSiO)、氧化铪(HfO2)、氧化铝(Al2O3)、氧化锆(ZrO2)、氧化镧(La2O3)、氧化钛(TiO2)、氧化钇(Y2O3)、钛酸锶(SrTiO3)或其组合。
根据本发明的一个实施例,I/O器件还包括在第一栅极结构下方的第一沟道,第一沟道具有半导体材料的堆叠层。
根据本发明的一个实施例,半导体材料的堆叠层包括交替堆叠的多层硅和多层硅锗。
根据本发明的一个实施例,半导体器件还包括在衬底上方的核心器件,其中,核心器件包括第二栅极结构,第二栅极结构具有:界面层;在界面层上方的另外一个或多个高k介电层;以及导电层,导电层在另外一个或多个高k介电层上方并且与另外一个或多个高k介电层物理接触;其中,一个或多个高k介电层包括另外一个或多个高k介电层和至少一个附加介电层。
根据本发明的另一方面,提供一种制造半导体器件的方法,包括:提供NFET I/O器件结构、NFET核心器件结构、PFET I/O器件结构和PFET核心器件结构,其中,NFET I/O器件结构和PFET I/O器件结构中的每个包括栅极沟槽和在栅极沟槽中暴露的堆叠鳍片,其中,堆叠鳍片包括交替堆叠的第一半导体材料和第二半导体材料,其中,NFET核心器件结构和PFET核心器件结构中的每个包括栅极沟槽和在栅极沟槽中暴露的纳米线;在堆叠鳍片和通过相应的栅极沟槽暴露的纳米线的表面上方沉积界面层;在栅极沟槽中的每个中的界面层上方沉积一个或多个高k介电层;形成覆盖NFET I/O器件结构和PFET I/O器件结构中的一个或多个高k介电层的硬掩模,同时在NFET核心器件结构和PFET核心器件结构中暴露一个或多个高k介电层;部分地去除NFET核心器件结构和PFET核心器件结构中的一个或多个高k介电层,同时硬掩模覆盖NFET I/O器件结构和PFETI/O器件结构中的一个或多个高k介电层,在NFET核心器件结构和PFET核心器件结构中留下一个或多个高k介电层的一部分;从NFET I/O器件结构和PFET I/O器件结构去除硬掩模;以及在NFET I/O器件结构和PFET I/O器件结构中的一个或多个高k介电层上方、以及NFET核心器件结构和PFET核心器件结构中的一个或多个高k介电层的一部分上方沉积一个或多个导电层。
根据本发明的一个实施例,一个或多个高k介电层包括第一高k介电层和在第一高k介电层上方的第二高k介电层。
根据本发明的一个实施例,部分地去除一个或多个高k介电层完全去除第二高k介电层。
根据本发明的一个实施例,方法还包括:在堆叠鳍片和通过相应的栅极沟槽暴露的纳米线的表面上方形成界面控制层,其中,界面层沉积在界面控制层上方。
上面论述了若干实施例的部件,使得本领域技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与本文所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种半导体器件,包括:
衬底;
在所述衬底上方的I/O器件;以及
在所述衬底上方的核心器件,
其中,所述I/O器件包括第一栅极结构,所述第一栅极结构具有:
界面层;
在所述界面层上方的第一高k介电堆叠件;以及
导电层,所述导电层在所述第一高k介电堆叠件上方并且与所述第一高k介电堆叠件物理接触,
其中,所述核心器件包括第二栅极结构,所述第二栅极结构具有:
界面层;
在所述界面层上方的第二高k介电堆叠件;以及
导电层,所述导电层在所述第二高k介电堆叠件上方并且与所述第二高k介电堆叠件物理接触,以及
其中,所述第一高k介电堆叠件包括所述第二高k介电堆叠件和第三介电层。
2.根据权利要求1所述的半导体器件,其中,所述界面层包括具有8至12埃的厚度范围的二氧化硅(SiO2)。
3.根据权利要求1所述的半导体器件,其中,所述第一高k介电堆叠件比所述第二高k介电堆叠件厚5至20埃。
4.根据权利要求1所述的半导体器件,其中,所述第三介电层的介电常数大于二氧化硅(SiO2)的介电常数且低于所述第二高k介电堆叠件的介电常数。
5.根据权利要求1所述的半导体器件,其中,所述第二高k介电堆叠件的介电常数在15至30的范围内。
6.根据权利要求1所述的半导体器件,其中:
所述界面层包括具有8至12埃的厚度范围的二氧化硅(SiO2);
所述第二高k介电堆叠件包括具有10至20埃的厚度范围的氧化铪(HfO2);以及
所述第三介电层包括具有5至20埃的厚度范围的氧化铝(Al2O3)。
7.根据权利要求1所述的半导体器件,其中,所述第一高k介电堆叠件和所述第二高k介电堆叠件具有相等的介电常数。
8.根据权利要求1所述的半导体器件,其中,所述I/O器件包括在所述第一栅极结构下方的第一沟道,所述第一沟道具有交替堆叠的第一半导体材料和第二半导体材料。
9.一种半导体器件,包括:
衬底;以及
在所述衬底上方的I/O器件,
其中,所述I/O器件包括第一栅极结构,所述第一栅极结构具有:
界面层,所述界面层具有8至12埃的厚度;
在所述界面层上方的一个或多个高k介电层;以及
导电层,所述导电层在所述一个或多个高k介电层上方并且与所述一个或多个高k介电层物理接触。
10.一种制造半导体器件的方法,包括:
提供NFET I/O器件结构、NFET核心器件结构、PFET I/O器件结构和PFET核心器件结构,其中,所述NFET I/O器件结构和所述PFET I/O器件结构中的每个包括栅极沟槽和在所述栅极沟槽中暴露的堆叠鳍片,其中,所述堆叠鳍片包括交替堆叠的第一半导体材料和第二半导体材料,其中,所述NFET核心器件结构和所述PFET核心器件结构中的每个包括栅极沟槽和在所述栅极沟槽中暴露的纳米线;
在所述堆叠鳍片和通过相应的所述栅极沟槽暴露的所述纳米线的表面上方沉积界面层;
在所述栅极沟槽中的每个中的所述界面层上方沉积一个或多个高k介电层;
形成覆盖所述NFET I/O器件结构和所述PFET I/O器件结构中的所述一个或多个高k介电层的硬掩模,同时在所述NFET核心器件结构和所述PFET核心器件结构中暴露所述一个或多个高k介电层;
部分地去除所述NFET核心器件结构和所述PFET核心器件结构中的所述一个或多个高k介电层,同时所述硬掩模覆盖所述NFET I/O器件结构和所述PFET I/O器件结构中的所述一个或多个高k介电层,在所述NFET核心器件结构和所述PFET核心器件结构中留下所述一个或多个高k介电层的一部分;
从所述NFET I/O器件结构和所述PFET I/O器件结构去除所述硬掩模;以及
在所述NFET I/O器件结构和所述PFET I/O器件结构中的一个或多个高k介电层上方、以及所述NFET核心器件结构和所述PFET核心器件结构中的所述一个或多个高k介电层的一部分上方沉积一个或多个导电层。
CN201711278787.8A 2017-09-29 2017-12-06 半导体器件及其制造方法 Active CN109585448B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/719,686 2017-09-29
US15/719,686 US10804367B2 (en) 2017-09-29 2017-09-29 Gate stacks for stack-fin channel I/O devices and nanowire channel core devices

Publications (2)

Publication Number Publication Date
CN109585448A true CN109585448A (zh) 2019-04-05
CN109585448B CN109585448B (zh) 2021-04-20

Family

ID=65432045

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711278787.8A Active CN109585448B (zh) 2017-09-29 2017-12-06 半导体器件及其制造方法

Country Status (5)

Country Link
US (3) US10804367B2 (zh)
KR (1) KR102158125B1 (zh)
CN (1) CN109585448B (zh)
DE (1) DE102017123334A1 (zh)
TW (1) TWI644431B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112071749A (zh) * 2019-06-10 2020-12-11 爱思开海力士有限公司 半导体装置和制造该半导体装置的方法
CN113437065A (zh) * 2020-06-05 2021-09-24 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10461078B2 (en) 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
US10811413B2 (en) * 2018-08-13 2020-10-20 International Business Machines Corporation Multi-threshold vertical FETs with common gates
US10615257B2 (en) * 2018-09-07 2020-04-07 International Business Machines Corporation Patterning method for nanosheet transistors
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
US11302793B2 (en) 2020-06-15 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and method of forming
US20210399104A1 (en) * 2020-06-17 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Triple Layer High-K Gate Dielectric Stack for Workfunction Engineering
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11615962B2 (en) * 2020-09-11 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070001241A1 (en) * 2005-06-30 2007-01-04 Samsung Electronics Co., Ltd. Semiconductor devices having nitrogen-incorporated active region and methods of fabricating the same
US20110121399A1 (en) * 2009-11-20 2011-05-26 Park Hong-Bae Complementary metal oxide semiconductor device having metal gate stack structure and method of manufacturing the same
CN102104042A (zh) * 2009-12-21 2011-06-22 中国科学院微电子研究所 一种半导体器件
CN102822959A (zh) * 2010-03-30 2012-12-12 瑞萨电子株式会社 半导体器件及其制造方法
CN102931203A (zh) * 2011-08-11 2013-02-13 台湾积体电路制造股份有限公司 多栅极介电结构及其形成方法
US20130175630A1 (en) * 2012-01-06 2013-07-11 International Business Machines Corporation Replacement gate structure for transistor with a high-k gate stack
US20130175577A1 (en) * 2012-01-09 2013-07-11 Globalfoundries Inc. NFET Device with Tensile Stressed Channel Region and Methods of Forming Same
CN103531453A (zh) * 2012-07-02 2014-01-22 中芯国际集成电路制造(上海)有限公司 半导体集成器件及其制作方法
CN105762190A (zh) * 2014-12-19 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
CN105895693A (zh) * 2015-02-12 2016-08-24 台湾积体电路制造股份有限公司 具有包裹环绕的硅化物的FinFET及其形成方法
US20170053915A1 (en) * 2015-08-17 2017-02-23 International Business Machines Corporation High-k gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type iii-v semiconductor material and silicon germanium semiconductor material
US20170117372A1 (en) * 2015-10-26 2017-04-27 United Microelectronics Corp. Semiconductor device and method of fabricating semiconductor device
CN106653691A (zh) * 2015-11-04 2017-05-10 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6586349B1 (en) * 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US7361961B2 (en) * 2005-04-25 2008-04-22 Altera Corporation Method and apparatus with varying gate oxide thickness
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20080302675A1 (en) * 2007-06-06 2008-12-11 University Of Southern California Polymer-based cardiovascular biosensors, manufacture, and uses thereof
JP2011054872A (ja) * 2009-09-04 2011-03-17 Panasonic Corp 半導体装置及びその製造方法
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
JP2011253931A (ja) 2010-06-02 2011-12-15 Panasonic Corp 半導体装置及びその製造方法
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9000533B2 (en) 2012-04-26 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for high-K and metal gate stacks
US8698252B2 (en) 2012-04-26 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Device for high-K and metal gate stacks
US9711415B2 (en) 2012-05-11 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Device for high-K and metal gate stacks
US9136177B2 (en) 2012-07-30 2015-09-15 Globalfoundries Inc. Methods of forming transistor devices with high-k insulation layers and the resulting devices
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
KR102069609B1 (ko) 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
KR102376503B1 (ko) 2015-04-23 2022-03-18 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9412849B1 (en) * 2015-12-11 2016-08-09 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
US20190326284A1 (en) * 2016-02-11 2019-10-24 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
KR20170103067A (ko) * 2016-03-02 2017-09-13 삼성전자주식회사 모스-트랜지스터를 갖는 반도체 소자
KR102494126B1 (ko) * 2016-04-26 2023-02-02 삼성전자주식회사 커패시터를 포함하는 반도체 소자
KR102551589B1 (ko) * 2016-09-29 2023-07-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN108010884B (zh) * 2016-11-01 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9935014B1 (en) * 2017-01-12 2018-04-03 International Business Machines Corporation Nanosheet transistors having different gate dielectric thicknesses on the same chip
US9881998B1 (en) * 2017-02-02 2018-01-30 International Business Machines Corporation Stacked nanosheet field effect transistor device with substrate isolation
US10074575B1 (en) * 2017-06-21 2018-09-11 International Business Machines Corporation Integrating and isolating nFET and pFET nanosheet transistors on a substrate

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070001241A1 (en) * 2005-06-30 2007-01-04 Samsung Electronics Co., Ltd. Semiconductor devices having nitrogen-incorporated active region and methods of fabricating the same
US20110121399A1 (en) * 2009-11-20 2011-05-26 Park Hong-Bae Complementary metal oxide semiconductor device having metal gate stack structure and method of manufacturing the same
CN102104042A (zh) * 2009-12-21 2011-06-22 中国科学院微电子研究所 一种半导体器件
CN102822959A (zh) * 2010-03-30 2012-12-12 瑞萨电子株式会社 半导体器件及其制造方法
CN102931203A (zh) * 2011-08-11 2013-02-13 台湾积体电路制造股份有限公司 多栅极介电结构及其形成方法
US20130175630A1 (en) * 2012-01-06 2013-07-11 International Business Machines Corporation Replacement gate structure for transistor with a high-k gate stack
US20130175577A1 (en) * 2012-01-09 2013-07-11 Globalfoundries Inc. NFET Device with Tensile Stressed Channel Region and Methods of Forming Same
CN103531453A (zh) * 2012-07-02 2014-01-22 中芯国际集成电路制造(上海)有限公司 半导体集成器件及其制作方法
CN105762190A (zh) * 2014-12-19 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
CN105895693A (zh) * 2015-02-12 2016-08-24 台湾积体电路制造股份有限公司 具有包裹环绕的硅化物的FinFET及其形成方法
US20170053915A1 (en) * 2015-08-17 2017-02-23 International Business Machines Corporation High-k gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type iii-v semiconductor material and silicon germanium semiconductor material
US20170117372A1 (en) * 2015-10-26 2017-04-27 United Microelectronics Corp. Semiconductor device and method of fabricating semiconductor device
CN106653691A (zh) * 2015-11-04 2017-05-10 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112071749A (zh) * 2019-06-10 2020-12-11 爱思开海力士有限公司 半导体装置和制造该半导体装置的方法
CN112071749B (zh) * 2019-06-10 2024-03-08 爱思开海力士有限公司 半导体装置和制造该半导体装置的方法
US11935926B2 (en) 2019-06-10 2024-03-19 SK Hynix Inc. Semiconductor device and method for fabricating the same
CN113437065A (zh) * 2020-06-05 2021-09-24 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Also Published As

Publication number Publication date
KR102158125B1 (ko) 2020-09-24
DE102017123334A1 (de) 2019-04-04
US20190103472A1 (en) 2019-04-04
TW201916363A (zh) 2019-04-16
US20190109204A1 (en) 2019-04-11
CN109585448B (zh) 2021-04-20
US20200119155A1 (en) 2020-04-16
US11152481B2 (en) 2021-10-19
KR20190038224A (ko) 2019-04-08
TWI644431B (zh) 2018-12-11
US10804367B2 (en) 2020-10-13

Similar Documents

Publication Publication Date Title
CN109585448A (zh) 半导体器件及其制造方法
US11532735B2 (en) Self-aligned epitaxy layer
CN106469683B (zh) 用于具有栅极间隔件保护层的半导体器件的方法和结构
CN106328539B (zh) 多栅极器件及其制造方法
CN105895694B (zh) 堆叠的全环栅FinFET及其形成方法
CN105977284B (zh) 用于鳍式场效应晶体管的源极/漏极区及其形成方法
CN106206436B (zh) 用于金属栅极的方法和结构
CN109427589A (zh) 半导体结构及其形成方法
CN106711042B (zh) 用于半导体中段制程(meol)工艺的方法和结构
CN108122846A (zh) 包括鳍式场效应晶体管的半导体器件及其形成方法
CN109427776A (zh) 用于半导体器件的自对准结构、半导体结构及其形成方法
CN109427873A (zh) 具有粗糙阻挡层的金属栅极的结构和方法
CN108231664A (zh) 半导体器件及其形成方法
CN110176443A (zh) 用于减小接触电阻的双金属通孔
CN108074983A (zh) 多栅极半导体器件及其制造方法
CN109860117A (zh) 用于减小晶体管间隔的切割金属栅极工艺
CN106935649A (zh) 半导体器件以及形成场效应晶体管的方法
KR20150060578A (ko) 매립형 실리콘 게르마늄 산화물을 갖는 FinFET 소자를 위한 구조 및 방법
CN109841619A (zh) 半导体结构切割工艺和由此形成的结构
CN113113491B (zh) 半导体器件及其形成方法
CN110416159A (zh) 形成半导体结构的方法
US20200006158A1 (en) Methods of Forming Metal Gate Spacer
CN109427896A (zh) 半导体装置的形成方法
CN113053888A (zh) 集成电路
CN110098122A (zh) 半导体装置的形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant