TWI644431B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI644431B
TWI644431B TW106135542A TW106135542A TWI644431B TW I644431 B TWI644431 B TW I644431B TW 106135542 A TW106135542 A TW 106135542A TW 106135542 A TW106135542 A TW 106135542A TW I644431 B TWI644431 B TW I644431B
Authority
TW
Taiwan
Prior art keywords
dielectric
effect transistor
field effect
type field
layer
Prior art date
Application number
TW106135542A
Other languages
English (en)
Other versions
TW201916363A (zh
Inventor
鄭兆欽
雲惟勝
陳奕升
余紹銘
陳自強
葉致鍇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI644431B publication Critical patent/TWI644431B/zh
Publication of TW201916363A publication Critical patent/TW201916363A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體元件,包括基板、位於基板上方的輸入/輸出元件以及位於基板上方的核心元件。輸入/輸出元件包括具有界面層的第一閘極結構、位於界面層上方的第一高介電常數介電堆疊以及位於第一高介電常數介電堆疊上方且與第一高介電常數介電堆疊實體接觸的導電層。核心元件包括具有界面層的第二閘極結構、位於界面層上方的第二高介電常數介電堆疊、以及位於第二高介電常數介電堆疊上方且與第二高介電常數介電堆疊實體接觸的導電層。第一高介電常數介電堆疊包括第二高介電常數介電堆疊及第三介電層。

Description

半導體元件及其製造方法
本揭露有關於一種半導體元件及其製造方法。
半導體積體電路(integrated circuit;IC)產業已經歷了爆炸性的成長。IC材料及設計的技術進步已經產生了數個世代的IC,其中每一世代皆具有比上一世代更小且更複雜的電路。在IC發展的過程中,功能密度(亦即,單位晶片面積的互連元件的數目)大體上增加,而幾何形狀尺寸(亦即,可使用製造製程產生的最小元件(或線))已經減小。此種尺寸縮小過程大體上提供了增加生產效率及降低相關成本等益處。此種尺寸縮小亦增加了處理及製造IC的複雜性。
舉例而言,隨著尺寸繼續縮小,源極/汲極(source/drain;S/D)接面對於短通道控制變得更加重要,並決定最終的元件效能。因此,需要S/D形成後的低熱製程。但是,現有的閘極氧化物製程通常使用氧化後退火(post oxide annealing;POA),氧化後退火常為高且長的熱製程,以便產生高品質的閘極氧化物。此POA製程有時會有損S/D接面效能。如何在低熱製程及足夠可靠性下形成閘極堆疊是一項重要 任務。舉另一個實例,當輸入/輸出(I/O或IO)元件在比核心元件高的Vdd下工作時,輸入/輸出元件需要較厚的閘極氧化物。如何繼續縮小輸入/輸出元件的閘極堆疊尺寸是半導體工業所面臨的挑戰。本揭示案意欲解決上述問題及其他相關問題。
本揭露提出一種半導體元件,包含基板、基板上方的輸入/輸出元件以及基板上方的核心元件。其中輸入/輸出元件包括第一閘極結構,第一閘極結構具有界面層、位於界面層上方的第一高介電常數介電堆疊以及位於第一高介電常數介電堆疊上方且與第一高介電常數介電堆疊實體接觸的導電層。其中核心元件包括第二閘極結構,第二閘極結構具有界面層、位於界面層上方的第二高介電常數介電堆疊以及位於第二高介電常數介電堆疊上方且與第二高介電常數介電堆疊實體接觸的導電層。其中第一高介電常數介電堆疊包括第二高介電常數介電堆疊及第三介電層。
本揭露之另一面向有關於一種半導體元件,包含基板以及位於基板上方的輸入/輸出元件。輸入/輸出元件包括第一閘極結構。第一閘極結構包含具有8至12埃之厚度的界面層、位於界面層上方的一或更多個高介電常數介電層以及位於一或更多個高介電常數介電層上方且與一或更多個高介電常數介電層實體接觸的導電層。
本揭露之又一面向有關於一種半導體元件製造方法,包含提供N型場效電晶體輸入/輸出元件結構、N型場效電 晶體核心元件結構、P型場效電晶體輸入/輸出元件結構以及P型場效電晶體核心元件結構。其中N型場效電晶體輸入/輸出元件結構及P型場效電晶體輸入/輸出元件結構之每一者包括閘極溝槽及閘極溝槽中暴露的堆疊鰭片。其中堆疊鰭片包括交替堆疊的第一半導體材料及第二半導體材料。其中N型場效電晶體核心元件結構及P型場效電晶體核心元件結構之每一者包括閘極溝槽及閘極溝槽中暴露的奈米導線;在經由閘極溝槽各自暴露的堆疊鰭片及奈米導線之表面上方沉積界面層;在閘極溝槽之每一者中的界面層上方沉積一或更多個高介電常數介電層;在暴露N型場效電晶體核心元件結構及P型場效電晶體核心元件結構中的一或更多個高介電常數介電層的同時,在N型場效電晶體輸入/輸出元件結構及P型場效電晶體輸入/輸出元件結構中形成覆蓋一或更多個高介電常數介電層的硬遮罩;在硬遮罩覆蓋N型場效電晶體輸入/輸出元件結構及P型場效電晶體輸入/輸出元件結構中的一或更多個高介電常數介電層的同時,部分地移除N型場效電晶體核心元件結構及P型場效電晶體核心元件結構中的一或更多個高介電常數介電層,在N型場效電晶體核心元件結構及P型場效電晶體核心元件結構中留下一或更多個高介電常數介電層的一部分;自N型場效電晶體輸入/輸出元件結構及P型場效電晶體輸入/輸出元件結構移除硬遮罩;以及在N型場效電晶體輸入/輸出元件結構及P型場效電晶體輸入/輸出元件結構中的一或更多個高介電常數介電層上方及在N型場效電晶體核心元件結構及P型場效電晶體核心元件結構中的一或更多個高介電常數介電層的部分上方沉積一或更多個導電層。
當結合隨附圖式閱讀時,將自下文的詳細描述最佳地理解本揭示案。要強調的是,根據工業中的標準實務,並未按比例繪製各特徵,且各特徵僅用於繪示目的。事實上,為了論述清楚,可任意增加或減小各特徵之尺寸。
第1圖繪示根據本揭示案之態樣的用於輸入/輸出元件及核心元件之兩個閘極堆疊之示意圖。
第2A圖與第2B圖繪示根據本揭示案之態樣的NFET(n型場效電晶體)輸入/輸出元件之兩個剖面圖。
第3A圖與第3B圖繪示根據本揭示案之態樣的NFET核心元件之兩個剖面圖。
第4A圖與第4B圖繪示根據本揭示案之態樣的PFET(p型場效電晶體)輸入/輸出元件之兩個剖面圖。
第5A圖與第5B圖繪示根據本揭示案之態樣的PFET核心元件之兩個剖面圖。
第6A圖與第6B圖繪示根據本揭示案之態樣的用於形成第2A圖至第5B圖中所示元件的方法之流程圖。
第7A圖與第7B圖繪示根據本揭示案之態樣的用於製備待由第6A圖至第6B圖之方法處理的結構的方法之流程圖。
第8A圖、第8B圖、第9A圖、第9B圖、第10圖、第11圖、第12圖、第13圖、第14圖、第15圖、第16圖、第17A圖及第17B圖繪示根據一實施方式的在根據第7A圖至第7B圖之方法的製造製程期間半導體結構之剖面圖。
第18圖、第19圖、第20圖、第21圖、第22圖、第23圖、第24圖、第25圖及第26圖繪示根據一實施方式的在根據第7A圖至第7B圖之方法的製造製程期間的NFET核心元件、NFET輸入/輸出元件、PFET核心元件及PFET輸入/輸出元件之剖面圖。
第27圖、第28圖、第29圖、第30圖、第31圖、第32圖、第33圖、第34圖及第35圖繪示根據一實施方式的在根據第6A圖至第6B圖之方法的製造製程期間的NFET核心元件、NFET輸入/輸出元件、PFET核心元件及PFET輸入/輸出元件之剖面圖。
以下揭露內容提供許多不同實施方式或實例,以便實施所提供之標的之不同特徵。下文描述元件及佈置之特定實例以簡化本揭示案。當然,此等僅為實例且不欲為限制性。舉例而言,在下文的描述中,第一特徵形成於第二特徵上方或第二特徵上可包括以直接接觸形成第一特徵與第二特徵的實施方式,且亦可包括可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可不處於直接接觸的實施方式。另外,本揭示案可在各實例中重複元件符號及/或字母。此重複係出於簡化與清楚目的,且本身並不指示所論述之各實施方式及/或配置之間的關係。
另外,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」 及類似者)來描述諸圖中所繪示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含使用或操作中元件之不同定向。設備可經其他方式定向(旋轉90度或處於其他定向上)且因此可類似解讀本文所使用之空間相對性描述詞。
本揭示案大體上係關於半導體元件,且更特定而言,係關於具有輸入/輸出元件(或電晶體)及核心元件(或電晶體)的積體電路(IC),輸入/輸出元件(或電晶體)具有堆疊鰭片通道,核心元件(或電晶體)具有奈米導線通道。本揭示案之目標為利用低熱製程形成用於輸入/輸出元件及核心元件的閘極堆疊。此為IC提供更好的源極/汲極(source/drain,S/D)接面控制。本揭示案之另一目的為在輸入/輸出閘極堆疊及核心閘極堆疊中形成相同界面層,以及調諧界面層上方的一或更多個高介電常數介電層,以便實現兩個閘極堆疊中的不同TDDB(閘極介電擊穿)電壓。此藉由增加電容等效的氧化物厚度(capacitance equivalent oxide thickness;CET)縮放窗口來提供效能增益。在論述如第1圖至第35圖中示例性說明的本揭示案之各實施方式之後,此等及其他益處將變得明顯。
參看第1圖,圖中所示為根據本揭示案之實施方式所構造的用於輸入/輸出元件的閘極堆疊(或閘極結構)10A及用於核心元件的另一閘極堆疊(或閘極結構)10B。輸入/輸出元件在IC之邊界處提供輸入/輸出功能,而核心元件在IC內(例如,在核心元件之間或在核心元件與輸入/輸出元件之間)提供功能。在一實施方式中,可在諸如2nm製程的進階 製程節點中實裝閘極堆疊10A及10B。舉例而言,在一實施方式中,輸入/輸出閘極堆疊10A可提供1.0V之供應電壓(Vdd)下的3.0V之擊穿電壓(VBD)、1.1V之n/p-TDDB(NFET TDDB及PFET TDDB)及21埃(Å)之CET。核心閘極堆疊10B提供與輸入/輸出閘極堆疊10A相比較低的VBD、較低的n/p-TDDB及較薄的CET。
輸入/輸出閘極堆疊10A包括界面層12、位於界面層12正上方的高介電常數介電堆疊14A及位於高介電常數介電堆疊14A正上方且與高介電常數介電堆疊14A實體接觸的導電層16。界面層12可包括二氧化矽(SiO2)、氧化鋁(Al2O3)、氧化矽鋁(AlSiO)、氮氧化矽(SiON)或其他適宜材料。特定而言,在本實施方式中,界面層12具有8至12Å之厚度,此厚度比傳統輸入/輸出閘極氧化物厚度(諸如30至40Å)薄得多。具有薄界面層12消除了通常用於在傳統輸入/輸出閘極堆疊中形成厚閘極氧化物的高溫氧化後退火(POA)製程。高介電常數介電堆疊14A包括一或更多種高介電常數介電材料(或一或更多個高介電常數介電材料層),諸如氧化矽鉿(HfSiO)、氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化鈦(TiO2)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3)或上述之組合。導電層16包括一或更多個金屬層,諸如功函數金屬層、導電阻障層及金屬填充層。功函數金屬層可為取決於元件之類型(PFET或NFET)的p型或n型功函數層。p型功函數層包含選自但不限於以下之群組的金屬:氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鎢(W)、鉑(Pt)或上述之組合。n型功函數 層包含選自但不限於以下之群組的金屬:鈦(Ti)、鋁(Al)、碳化鉭(TaC)、碳氮化鉭(TaCN)、氮化矽鉭(TaSiN)或上述之組合。金屬填充層可包括鋁(Al)、鎢(W)、鈷(Co)、銅(Cu)及/或其他適宜材料。
核心閘極堆疊10B包括界面層12、位於界面層12正上方的高介電常數介電堆疊14B及位於高介電常數介電堆疊14B正上方且與高介電常數介電堆疊14B實體接觸的導電層16。高介電常數介電堆疊14B包括一或更多種高介電常數介電材料(或一或更多個高介電常數介電材料層),諸如氧化矽鉿(HfSiO)、氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化鈦(TiO2)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3)或上述之組合。
在本實施方式中,高介電常數介電堆疊14A包括與高介電常數介電堆疊14B相同的材料層,外加一或更多個額外高介電常數介電層15。在一個實例中,高介電常數介電堆疊14B包括10至20Å之HfO2層,以及高介電常數介電堆疊14A包括與高介電常數介電堆疊14B相同的層,並進一步包括5至20Å之Al2O3層(高介電常數介電層15)。此簡化了在同一IC中形成輸入/輸出閘極堆疊10A及核心閘極堆疊10B之製程流程,此稍後將演示。在另一個實例中,高介電常數介電堆疊14B包括位於HfSiO層上方的HfO2層,以及高介電常數介電堆疊14A包括與高介電常數介電堆疊14B相同的層,並進一步包括Al2O3層(高介電常數介電層15)。
在另一實施方式中,高介電常數介電堆疊14A及14B包括相同的材料層,但高介電常數介電堆疊14A比高介電常數介電堆疊14B厚例如5至20Å。舉例而言,高介電常數介電堆疊14A及14B兩者皆包括HfO2層,但高介電常數介電堆疊14A中的HfO2層比高介電常數介電堆疊14B中的HfO2層厚5至20Å。可藉由選擇性蝕刻高介電常數介電堆疊14B調整高介電常數介電堆疊14A及14B的厚度上的差異。
第2A圖至第5B圖繪示實施閘極堆疊10A及/或10B的示例性半導體元件。第2A圖繪示沿場效電晶體(field effect transistor;FET)通道之長度或鰭式場效電晶體(FinFET)中的鰭片之長度切割的NFET輸入/輸出元件100A之剖面圖(此視圖在下文中被稱為「X剖面」)。第2B圖繪示沿FET通道之寬度或FinFET中的鰭片之寬度剖面的NFET輸入/輸出元件100A之剖面圖(此視圖在下文中被稱為「Y剖面」)。第3A圖與第3B圖分別繪示X剖面及Y剖面下的NFET核心元件100B。第4A圖與第4B圖分別繪示X剖面及Y剖面下的PFET輸入/輸出元件100C。第5A圖與第5B圖分別繪示X剖面及Y剖面下的PFET核心元件100D。
參看第2A圖與第2B圖,元件100A包括基板102及基板102上方的堆疊鰭片通道104A。堆疊鰭片通道104A包括交替堆疊的多個第一半導體材料層105及多個第二半導體材料層106(因此稱為「堆疊鰭片」)。元件100A進一步包括隔離多個堆疊鰭片通道104A(第2B圖中繪示兩個堆疊鰭片通道104A)的隔離結構103。
在本實施方式中,基板102為矽基板。或者,基板102可包含其他元素半導體,諸如鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或上述之組合。在本實施方式中,在同一基板102上構造元件100A、100B、100C及100D。
隔離結構103可包含氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluoride-doped silicate glass;FSG)、低介電常數介電材料及/或其他適宜絕緣材料。隔離結構103可為淺溝槽隔離(shallow trench isolation;STI)特徵。諸如場氧化物、矽的局部氧化(LOCal Oxidation of Silicon;LOCOS)之其他隔離結構103及/或其他適宜結構是可能的。隔離結構103可包括多層結構,例如,具有一或更多個熱氧化物襯墊層。
第一半導體材料層105與第二半導體材料層106在材料及/或組成上不同。第一半導體材料及第二半導體材料之每一者可包括矽、鍺、化合物半導體或合金半導體,化合物半導體包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及銻化銦,合金半導體包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及GaInAsP。在本實施方式中,第一半導體材料層105包含矽,第二半導體材料層106包含鍺或矽鍺合金。堆疊鰭片通道104A中的第一半導體材料層105及第二半導體材料層106可額外包括用於改良NFET輸入/輸出元件100A之效能的摻雜劑。舉例而言,第一半導體材料層105可包括諸 如磷或砷之n型摻雜劑,而第二半導體材料層106可包括諸如硼或銦之p型摻雜劑。
元件100A進一步包括閘極堆疊(或閘極結構)107A及位於閘極堆疊107A之側壁上的閘極間隔物108。閘極堆疊107A銜接元件之通道區域中的堆疊鰭片通道104A,例如,如第2B圖所示,位於堆疊鰭片通道104A之頂部及側壁上。閘極堆疊107A包括界面層120、高介電常數介電堆疊121及導電層126A,高介電常數介電堆疊121包括高介電常數介電層122及124。在一實施方式中,界面層120、高介電常數介電堆疊121及導電層126A可分別使用與第1圖之界面層12、高介電常數介電堆疊14A及導電層16相同的材料。舉例而言,界面層120可包含具有8至12Å之厚度的二氧化矽(SiO2),高介電常數介電層122可包含具有10至20Å之厚度的氧化鉿(HfO2),高介電常數介電層124可包含具有5至20Å之厚度的氧化鋁(Al2O3),以及導電層126A可包含一或更多個n型功函數金屬層及金屬填充層。高介電常數介電層122及124之每一者可包含一或更多個材料層。在本實施方式中,在堆疊鰭片通道104A之頂部及側壁上及在閘極間隔物108之側壁上形成界面層120及高介電常數介電堆疊121作為保形層。
元件100A進一步包括部分嵌入堆疊鰭片通道104A且與閘極間隔物108相鄰的S/D特徵110A,以及位於S/D特徵110A上方且處於閘極間隔物108之間的介電層112、114及116。

Claims (9)

  1. 一種半導體元件,包含:一基板;一輸入/輸出元件,位於該基板上方;以及一核心元件,位於該基板上方,其中該輸入/輸出元件包括一第一閘極結構,該第一閘極結構具有:一界面層;一第一高介電常數介電堆疊,位於該界面層上方;以及一導電層,位於該第一高介電常數介電堆疊上方且與該第一高介電常數介電堆疊實體接觸,其中該輸入/輸出元件進一步包括位於該第一閘極結構下方的一第一通道,該第一通道具有交替堆疊的一第一半導體材料及一第二半導體材料;其中該核心元件包括一第二閘極結構,該第二閘極結構具有:該界面層;一第二高介電常數介電堆疊,位於該界面層上方;以及該導電層,位於該第二高介電常數介電堆疊上方且與該第二高介電常數介電堆疊實體接觸,以及其中該第一高介電常數介電堆疊包括該第二高介電常數介電堆疊及一第三介電層。
  2. 如請求項1所述之半導體元件,其中該第一高介電常數介電堆疊以及該第二高介電常數介電堆疊具有實質上相同之一介電常數。
  3. 如請求項1所述之半導體元件,其中該核心元件包括由該第二閘極結構環繞的一第二通道,該第二通道具有該第一半導體材料。
  4. 一種半導體元件,包含:一基板;以及一輸入/輸出元件,位於該基板上方,其中該輸入/輸出元件包括一第一閘極結構,該第一閘極結構具有:一界面層,具有8至12埃之一厚度;一或更多個高介電常數介電層,位於該界面層上方;以及一導電層,位於該等一或更多個高介電常數介電層上方且與該等一或更多個高介電常數介電層實體接觸,其中該輸入/輸出元件進一步包括位於該第一閘極結構下方的一第一通道,該第一通道具有交替堆疊的一第一半導體材料及一第二半導體材料。
  5. 如請求項4所述之半導體元件,進一步包含位於該基板上方的一核心元件,其中該核心元件包括一第二閘極結構,該第二閘極結構具有:該界面層;另一或更多個高介電常數介電層,位於該界面層上方;以及該導電層,位於該等另一或更多個高介電常數介電層上方且與該等另一或更多個高介電常數介電層實體接觸,其中該等一或更多個高介電常數介電層包括該等另一或更多個高介電常數介電層及至少一額外介電層。
  6. 一種半導體元件製造方法,包含:提供一N型場效電晶體輸入/輸出元件結構、一N型場效電晶體核心元件結構、一P型場效電晶體輸入/輸出元件結構及一P型場效電晶體核心元件結構,其中該N型場效電晶體輸入/輸出元件結構及該P型場效電晶體輸入/輸出元件結構之每一者包括一閘極溝槽及於該閘極溝槽中暴露的一堆疊鰭片,其中該堆疊鰭片包括交替堆疊的一第一半導體材料及一第二半導體材料,其中該N型場效電晶體核心元件結構及該P型場效電晶體核心元件結構之每一者包括一閘極溝槽及於該閘極溝槽中暴露的奈米導線;在經由該等閘極溝槽各自暴露的該堆疊鰭片及該等奈米導線之複數個表面上方沉積一界面層;在該等閘極溝槽之每一者中的該界面層上方沉積一或更多個高介電常數介電層;在暴露該N型場效電晶體核心元件結構及該P型場效電晶體核心元件結構中的該等一或更多個高介電常數介電層的同時,在該N型場效電晶體輸入/輸出元件結構及該P型場效電晶體輸入/輸出元件結構中形成覆蓋該等一或更多個高介電常數介電層的一硬遮罩;在該硬遮罩覆蓋該N型場效電晶體輸入/輸出元件結構及該P型場效電晶體輸入/輸出元件結構中的該等一或更多個高介電常數介電層的同時,部分地移除該N型場效電晶體核心元件結構及該P型場效電晶體核心元件結構中的該等一或更多個高介電常數介電層,在該N型場效電晶體核心元件結構及該P型場效電晶體核心元件結構中留下該等一或更多個高介電常數介電層的一部分;自該N型場效電晶體輸入/輸出元件結構及該P型場效電晶體輸入/輸出元件結構移除該硬遮罩;以及在該N型場效電晶體輸入/輸出元件結構及該P型場效電晶體輸入/輸出元件結構中的該等一或更多個高介電常數介電層上方及在該N型場效電晶體核心元件結構及該P型場效電晶體核心元件結構中的該等一或更多個高介電常數介電層的該部分上方沉積一或更多個導電層。
  7. 如請求項6所述之半導體元件製造方法,其中該等一或更多個高介電常數介電層包含一第一高介電常數介電層以及位於該第一高介電常數介電層上方的一第二高介電常數介電層。
  8. 如請求項7所述之半導體元件製造方法,其中該部分地移除該等一或更多個高介電常數介電層的步驟,完整地移除該第二高介電常數介電層。
  9. 如請求項6所述之半導體元件製造方法,進一步包含:形成一界面控制層於各自從該等閘極溝槽暴露之該堆疊鰭片以及該等奈米導線的該等表面上方,其中該界面層沉積於該界面控制層上方。
TW106135542A 2017-09-29 2017-10-17 半導體元件及其製造方法 TWI644431B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/719,686 2017-09-29
US15/719,686 US10804367B2 (en) 2017-09-29 2017-09-29 Gate stacks for stack-fin channel I/O devices and nanowire channel core devices

Publications (2)

Publication Number Publication Date
TWI644431B true TWI644431B (zh) 2018-12-11
TW201916363A TW201916363A (zh) 2019-04-16

Family

ID=65432045

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106135542A TWI644431B (zh) 2017-09-29 2017-10-17 半導體元件及其製造方法

Country Status (5)

Country Link
US (3) US10804367B2 (zh)
KR (1) KR102158125B1 (zh)
CN (1) CN109585448B (zh)
DE (1) DE102017123334A1 (zh)
TW (1) TWI644431B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10461078B2 (en) 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
US10811413B2 (en) * 2018-08-13 2020-10-20 International Business Machines Corporation Multi-threshold vertical FETs with common gates
US10615257B2 (en) * 2018-09-07 2020-04-07 International Business Machines Corporation Patterning method for nanosheet transistors
KR102657866B1 (ko) * 2019-06-10 2024-04-17 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
DE102021106285A1 (de) * 2020-06-05 2021-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-struktur und verfahren
US11302793B2 (en) 2020-06-15 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and method of forming
US12015066B2 (en) * 2020-06-17 2024-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Triple layer high-k gate dielectric stack for workfunction engineering
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11615962B2 (en) 2020-09-11 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130285150A1 (en) * 2012-04-26 2013-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for high-k and metal gate stacks
US20130285151A1 (en) * 2012-04-26 2013-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for high-k and metal gate stacks
US20130299913A1 (en) * 2012-05-11 2013-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for high-k and metal gate stacks
US20160240681A1 (en) * 2014-06-27 2016-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and Method Forming the Same
US9449975B1 (en) * 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US20170140933A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6586349B1 (en) * 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US7361961B2 (en) * 2005-04-25 2008-04-22 Altera Corporation Method and apparatus with varying gate oxide thickness
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
KR100653721B1 (ko) * 2005-06-30 2006-12-05 삼성전자주식회사 질소주입활성영역을 갖는 반도체소자 및 그 제조방법
KR100827435B1 (ko) * 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
US20080302675A1 (en) * 2007-06-06 2008-12-11 University Of Southern California Polymer-based cardiovascular biosensors, manufacture, and uses thereof
JP5268829B2 (ja) * 2009-08-21 2013-08-21 パナソニック株式会社 半導体装置
JP2011054872A (ja) * 2009-09-04 2011-03-17 Panasonic Corp 半導体装置及びその製造方法
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
KR101656443B1 (ko) * 2009-11-20 2016-09-22 삼성전자주식회사 금속 게이트 스택 구조물을 갖는 씨모스 소자
CN102104042B (zh) 2009-12-21 2013-01-09 中国科学院微电子研究所 一种半导体器件
CN102822959B (zh) 2010-03-30 2015-01-28 瑞萨电子株式会社 半导体器件及其制造方法
JP2011253931A (ja) 2010-06-02 2011-12-15 Panasonic Corp 半導体装置及びその製造方法
JP2012044013A (ja) * 2010-08-20 2012-03-01 Renesas Electronics Corp 半導体装置の製造方法
JP5569253B2 (ja) * 2010-08-24 2014-08-13 富士通セミコンダクター株式会社 半導体装置の製造方法
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8685820B2 (en) 2011-08-11 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate dielectric structures and methods of forming the same
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8716118B2 (en) * 2012-01-06 2014-05-06 International Business Machines Corporation Replacement gate structure for transistor with a high-K gate stack
US20130175577A1 (en) * 2012-01-09 2013-07-11 Globalfoundries Inc. NFET Device with Tensile Stressed Channel Region and Methods of Forming Same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8987126B2 (en) * 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
CN103531453B (zh) 2012-07-02 2016-12-21 中芯国际集成电路制造(上海)有限公司 半导体集成器件及其制作方法
US9136177B2 (en) 2012-07-30 2015-09-15 Globalfoundries Inc. Methods of forming transistor devices with high-k insulation layers and the resulting devices
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
KR102069609B1 (ko) 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
CN105762190B (zh) 2014-12-19 2019-04-19 中国科学院微电子研究所 半导体器件及其制造方法
KR102376503B1 (ko) 2015-04-23 2022-03-18 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US9859279B2 (en) * 2015-08-17 2018-01-02 International Business Machines Corporation High-k gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
US9761680B2 (en) * 2015-10-26 2017-09-12 United Microelectronics Corp. Semiconductor device with embedded non-volatile memory and method of fabricating semiconductor device
CN106653691A (zh) * 2015-11-04 2017-05-10 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9412849B1 (en) * 2015-12-11 2016-08-09 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
US20190326284A1 (en) * 2016-02-11 2019-10-24 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
KR20170103067A (ko) * 2016-03-02 2017-09-13 삼성전자주식회사 모스-트랜지스터를 갖는 반도체 소자
KR102494126B1 (ko) * 2016-04-26 2023-02-02 삼성전자주식회사 커패시터를 포함하는 반도체 소자
KR102551589B1 (ko) * 2016-09-29 2023-07-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN108010884B (zh) * 2016-11-01 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9935014B1 (en) * 2017-01-12 2018-04-03 International Business Machines Corporation Nanosheet transistors having different gate dielectric thicknesses on the same chip
US9881998B1 (en) * 2017-02-02 2018-01-30 International Business Machines Corporation Stacked nanosheet field effect transistor device with substrate isolation
US10074575B1 (en) * 2017-06-21 2018-09-11 International Business Machines Corporation Integrating and isolating nFET and pFET nanosheet transistors on a substrate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130285150A1 (en) * 2012-04-26 2013-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for high-k and metal gate stacks
US20130285151A1 (en) * 2012-04-26 2013-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for high-k and metal gate stacks
US20130299913A1 (en) * 2012-05-11 2013-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for high-k and metal gate stacks
US20160240681A1 (en) * 2014-06-27 2016-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and Method Forming the Same
US9449975B1 (en) * 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US20170140933A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors

Also Published As

Publication number Publication date
CN109585448A (zh) 2019-04-05
KR102158125B1 (ko) 2020-09-24
US11152481B2 (en) 2021-10-19
US20200119155A1 (en) 2020-04-16
TW201916363A (zh) 2019-04-16
US20190103472A1 (en) 2019-04-04
US20190109204A1 (en) 2019-04-11
CN109585448B (zh) 2021-04-20
KR20190038224A (ko) 2019-04-08
US10804367B2 (en) 2020-10-13
DE102017123334A1 (de) 2019-04-04

Similar Documents

Publication Publication Date Title
TWI644431B (zh) 半導體元件及其製造方法
US11855094B2 (en) FinFET devices with dummy fins having multiple dielectric layers
TWI657579B (zh) 半導體裝置及其製造方法
US10832959B2 (en) FinFET gate structure and method for fabricating the same
US10832974B2 (en) FinFET gate structure and method for fabricating the same
US10867806B2 (en) Semiconductor device gate structure and method of fabricating thereof
KR102503922B1 (ko) 다중 게이트 호환 프로세스를 사용한 esd 디바이스의 형성
US20230387264A1 (en) Liner for A Bi-Layer Gate Helmet and the Fabrication Thereof
US20200388504A1 (en) Metal Contacts on Metal Gates and Methods Thereof
KR102090772B1 (ko) 게이트 구조물 및 그 방법
TWI484592B (zh) 具有金屬閘極電晶體與電阻結構之半導體元件及其製作方法之方法
TWI787866B (zh) 半導體結構及其製造方法
CN114512443A (zh) 半导体结构的制造方法
KR20220058383A (ko) 반도체 구조물 및 그 방법
TWI847541B (zh) 記憶體結構及其形成方法
US20230065498A1 (en) Semiconductor Device with CPODE and Related Methods
CN117457498A (zh) 制造半导体器件的方法
KR20230133744A (ko) 메모리 구조물 및 그 형성 방법
TW202015112A (zh) 半導體元件及其製作方法