CN108807180B - 半导体装置的形成方法 - Google Patents

半导体装置的形成方法 Download PDF

Info

Publication number
CN108807180B
CN108807180B CN201710730715.6A CN201710730715A CN108807180B CN 108807180 B CN108807180 B CN 108807180B CN 201710730715 A CN201710730715 A CN 201710730715A CN 108807180 B CN108807180 B CN 108807180B
Authority
CN
China
Prior art keywords
layer
barrier layer
forming
conductive
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710730715.6A
Other languages
English (en)
Other versions
CN108807180A (zh
Inventor
王喻生
洪奇成
欧阳良岳
林钰庭
苏庆煌
蔡明兴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108807180A publication Critical patent/CN108807180A/zh
Application granted granted Critical
Publication of CN108807180B publication Critical patent/CN108807180B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

一方法包括形成第一开口于基板上的介电层中、以导电阻挡层内衬上述第一开口的数个侧壁及底部以及沉积籽晶层于上述导电阻挡层之上。上述方法亦包括以等离子体工艺处理上述籽晶层,以及于处理上述籽晶层之后,以导电材料填充上述第一开口。

Description

半导体装置的形成方法
技术领域
本公开实施例是关于半导体装置的工艺,且特别是有关于半导体装置中的接点(亦称为接点插塞)的形成。
背景技术
由于各种电子元件的(例如:晶体管、二极管、电阻器、电容器等)积集度持续地改良,半导体工业经历了快速的成长。大体而言,上述积集度的改良归因于持续降低的最小特征尺寸(minimum feature size),其使得更多元件可被整合(integrated)至一给定的面积中。
随着晶体管的尺寸降低,各特征的尺寸亦随之降低。在先进的工艺技术中,接点开口(contact openings,其于后续将被填充以形成接点插塞)的高深宽比(aspect ratio)可能使得传统上被用来填充接点开口的间隙填充方法面临挑战。在此技术领域中,需要能应用在先进工艺技术中的微小特征尺寸的工艺方法。
发明内容
本公开实施例包括一种半导体装置的形成方法。上述方法包括形成第一开口于基板上的介电层中;以导电阻挡层内衬(lininig)上述第一开口的数个侧壁及底部;沉积籽晶层于上述导电阻挡层上;以等离子体工艺处理上述籽晶层;以及于处理上述籽晶层之后,以导电材料填充上述第一开口。
本公开实施例亦包括一种半导体装置中的接点的形成方法。上述方法包括沉积导电阻挡层于上述半导体装置的介电层中的开口的数个侧壁及底部上;形成导电插入层于上述导电阻挡层上;形成籽晶层于上述导电插入层上,其中上述籽晶层具有孔洞;以及镀覆(plating)导电材料于上述籽晶层上以填充上述孔洞(hole)。
本公开实施例又包括一种鳍式场效晶体管的形成方法。上述方法包括形成鳍片,上述鳍片突出于基板之上;形成介电层于上述鳍片上;形成第一开口于上述介电层中,其中上述第一开口露出上述鳍片的源极/漏极区;形成导电阻挡层衬于上述第一开口。形成上述导电阻挡层的步骤包括沉积第一导电膜层于上述第一开口的数个侧壁及底部之上;以及沉积第二导电膜层于上述第一导电膜层上,其中上述第二导电膜层不同于上述第一导电膜层。上述方法亦包括于形成上述导电阻挡层的步骤之后进行热退火工艺;沉积包括碳的籽晶层于上述导电阻挡层上;处理上述籽晶层以降低上述籽晶层中的碳的比率;以及镀覆导电材料于上述籽晶层上以填充上述第一开口。
附图说明
为了使本公开实施例及其优点更完整地被理解,请参考后文并配合所附图示,其中:
图1为鳍式场效晶体管(FinFET)的立体图;
图2-图16为一实施例中的鳍式场效晶体管装置于各工艺阶段的剖面图;
图17-图19为一实施例中的鳍式场效晶体管装置于各工艺阶段的剖面图;
图20根据一些实施例绘示出一半导体装置的形成方法的流程图。
附图标记说明:
30、100~鳍式场效晶体管
32、50~基板
34、62~隔离区
36~鳍片
38~栅极介电质
40~栅极电极
42、44~源极/漏极区
52~垫氧化物层
56~垫氮化物层
58~掩模
60~半导体条状物
61~沟槽
64~半导体鳍片
65~淡掺杂漏极区
66~栅极介电质
68~栅极
70~掩模层
72~第一栅极间隔物
75~虚设栅极结构
80~外延源极/漏极区
82~硅化物区
86~第二栅极间隔物
87~栅极间隔物
90~第一层间介电层
91、93~接点开口
94~阻挡层
95~第二层间介电层
95U~介电层的上表面
96~栅极介电层
97~替代栅极
98~栅极电极
102~接点插塞
104、105~阻挡层
106~插入层
108~籽晶层
109~经处理的籽晶层
110~导电材料
510~热退火工艺
610~工艺
1010、1020、1030、1040、1050~步骤
D1、D2~距离
W1、W2、W3、W4~宽度
A-A、B-B、C-C~参考剖面
具体实施方式
以下公开许多不同的实施方法或是例子来实行本公开实施例的不同特征。以下描述具体的元件及其排列以阐述本公开实施例。当然这些实施例仅用以例示,且不该以此限定本公开实施例的范围。例如,在说明书中提到第一特征形成于第二特征之上,其包括第一特征与第二特征是直接接触的实施例,另外也包括于第一特征与第二特征之间另外有其他特征的实施例,亦即,第一特征与第二特征并非直接接触。此外,本公开实施例可重复各例子中的参考标号及/或字母。上述重复是为了简明起见,其本身并不表示所述的各实施例及各种配置之间的关系。
此外,其中可能用到与空间相关用词,例如“在…下方”、“下方”、“较低的”、“上方”、“较高的”及类似的用词,这些空间相关用词是为了便于描述图示中一个(些)元件或特征与另一个(些)元件或特征之间的关系,这些空间相关用词包括使用中或操作中的装置的不同方位,以及附图中所描述的方位。当装置被转向不同方位时(旋转90度或其他方位),则其中所使用的空间相关形容词也将依转向后的方位来解释。
本公开实施例是在形成鳍式场效晶体管装置的脉络中被讨论(尤其是在形成鳍式场效晶体管装置的接点插塞的脉络中)。然而,本领域技术人员应能无困难地理解本公开实施例中所公开的方法可被使用于其他的装置或应用中(例如:平面装置)。
图1绘示出鳍式场效晶体管30的例子的立体图。鳍式场效晶体管30包括具有鳍片36的基板32。基板32具有形成于其上的隔离区34,以及突出高于隔离区34并位于邻近的隔离区34之间的鳍片36。栅极介电质38延伸于鳍片36的侧壁及顶表面上,栅极电极40位于栅极介电质38之上。源极/漏极区42及44位于栅极介电质38及栅极电极40的相对侧上的鳍片中。图1更绘示出使用于后文图中的参考剖面。参考剖面B-B沿着鳍式场效晶体管30的栅极电极40的纵轴(longitudinal axis)延伸。参考剖面C-C平行于参考剖面B-B且穿过源极/漏极区42。参考剖面A-A垂直于参考剖面B-B且延伸于鳍片36的纵轴,并延伸于例如源极/漏极区42及44之间的电流的方向中。为了明确起见,后续的图示参照这些参考剖面。
图2-图16为根据一实施例的鳍式场效晶体管装置100在各工艺阶段的剖面图。鳍式场效晶体管装置100类似于图1中的鳍式场效晶体管30,惟其具有多个鳍片。图2-图5绘示出鳍式场效晶体管装置100沿着参考剖面B-B的剖面图,而图6-图16绘示出沿着参考剖面A-A的剖面图。
图2绘示出基板50的剖面图。基板50可为半导体基板,例如块状(bulk)半导体、绝缘层上半导体基板(semiconductor-on-insulator,SOI)或类似的基板。上述半导体基板可为掺杂的(例如:以p型或n型掺质)或未掺杂的。基板50可为晶片,例如:硅晶片。通常,绝缘层上半导体基板包括一层形成于绝缘层上的半导体材料。举例而言,上述绝缘层可为埋藏氧化层(buried oxide(BOX)layer)、氧化硅层或类似的绝缘层。上述绝缘层提供于一基板(通常为硅或玻璃基板)之上。其他基板,例如:多层或梯度基板亦可被使用。在一些实施例中,基板50的半导体材料可包括硅、锗、化合物半导体(包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、及/或锑化铟)、合金半导体(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或上述的组合。
基板50可包括集成电路装置(未绘示)。本领域技术人员应能理解,各种集成电路装置(例如:晶体管、二极管、电容器、电阻器、类似的装置或上述的组合)可形成于基板50之中及/或之上,以达到场效晶体管的结构及功能上的设计需求。可使用任何适当的方法形成集成电路装置。
如图3所示,使用如光刻及蚀刻的技术以图案化图2中所示的基板50。举例而言,形成掩模层(例如:垫氧化物层52以及其上的垫氮化物层56)于基板50之上。垫氧化物层52可为包括氧化硅的薄膜。举例而言,可使用热氧化工艺形成上述包括氧化硅的薄膜。垫氧化物层52可充当基板50以及上方垫氮化物层56之间的粘着层(adhesion layer),且可充当蚀刻垫氮化物层56时的蚀刻停止层。在一些实施例中,垫氮化物层56由氮化硅、氮氧化硅、碳化硅(silicon carbide)、碳氮化硅(silicon carbonitride)、类似的材料或上述的组合所形成,且举例而言可使用低压化学气相沉积法(low-pressure chemical vapor deposition,LPCVD)或等离子体辅助化学气相沉积法(plasma enhanced chemical vapor deposition,PECVD)形成垫氮化物层56。
可使用光刻技术以图案化掩模层。大体而言,光刻技术使用光致抗蚀剂材料(未绘示)。沉积上述光致抗蚀剂材料并将其照光(曝光)以及显影以移除上述光致抗蚀剂材料的一部分。残留的光致抗蚀剂材料于后续的工艺步骤(例如:蚀刻)中保护其下的材料(例如本例子中的掩模层)。如图3所示,在本例子中,光致抗蚀剂材料被用来图案化垫氧化物层52及垫氮化物层56以形成图案化的掩模58。
如图3所示,图案化的掩模58于后续被用来图案化基板50的露出的部分以形成沟槽61,而于相邻的沟槽61之间定义出半导体条状物(strips)60。在一些实施例中,使用如反应式离子蚀刻(reactive ion etch,RIE)、中子束蚀刻(neutral beam etch,NBE)、类似的方法或上述的组合于基板50中蚀刻出沟槽而形成半导体条状物60。上述蚀刻可为异向性的(anisotropic)。在一些实施例中,沟槽61可为条状的(从顶部视之)并相互平行,且彼此紧密地间隔。在一些实施例中,沟槽61可为连续的且围绕半导体条状物60。在形成半导体条状物60之后,可以蚀刻或任何适当的方法移除图案化的掩模层58。
如图4所示,形成绝缘材料于邻近的半导体条状物60之间以形成隔离区62。绝缘材料可为氧化物(例如:氧化硅)、氮化物、类似的材料或上述的组合,且可以高等离子体密度化学气相沉积法(high density plasma chemical vapor deposition,HDP-CVD)、流动式化学气相沉积法(flowable CVD,FCVD,例如:以化学气相沉积的方式(CVD-based)于远距等离子体系统中沉积材料,之后固化(post curing)上述材料以将其转变成如氧化物的另一材料)、类似的方法或上述的组合形成上述绝缘材料。亦可使用其他绝缘材料及/或其他工艺。在所绘示的实施例中,上述绝缘材料为以流动式化学气相沉积工艺所形成的氧化硅。在形成绝缘材料之后,可进行退火工艺。平坦化工艺(例如:化学机械研磨工艺(chemicalmechanical polish,CMP))可移除任何多余的绝缘材料(如果仍存在有图案化的掩模层58,亦可使用上述平坦化工艺将其移除)并形成共平面的隔离区62的顶表面以及半导体条状物60的顶表面(未绘示)。
在一些实施例中,隔离区62包括衬层(liner),例如:衬层氧化物(liner oxide,未绘示),其位于隔离区62与基板50/半导体条状物60之间的介面。在一些实施例中,所形成的衬层氧化物用以减少于基板50与隔离区62之间的介面的晶体缺陷。类似地,衬层氧化物亦可被用来减少于半导体条状物60与隔离区62之间的介面的晶体缺陷。衬层氧化物(例如:氧化硅)可为经由热氧化基板50的表面层所形成的热氧化物,然而亦可使用其他适当的方法形成衬层氧化物。
接着,凹蚀隔离区62以形成浅沟槽隔离(shallow trench isolation,STI)区62。凹蚀上述隔离区62,使得半导体条状物60的上部自邻近的隔离区62之间突出而形成半导体鳍片64(亦称作鳍片64)。隔离区62的顶表面可具有平坦的表面(如图所示)、凸面(convexsurface)、凹面(concave surface,例如:碟形凹陷(dishing))或上述的组合。可以适当的蚀刻工艺使所形成的隔离区62的顶表面为平坦的、凸的及/或凹的。可使用适当的蚀刻工艺(例如:对于隔离区62的材料具有选择性的蚀刻工艺)凹蚀隔离区62。举例而言,可使用以
Figure BDA0001387031200000071
蚀刻工艺或Applied Materials SICONI设备或稀释的氢氟酸(dilutehydrofluoric,dHF)所进行的化学氧化物移除(chemical oxide removal)。
图2至图4绘示出形成鳍片64的实施例,但亦可于各种不同的工艺中形成鳍片。举例而言,可形成介电层于基板顶表面上并蚀刻出穿过上述介电层的沟槽,可于上述沟槽中外延成长出同质外延(homoepitaxial)结构,并可凹蚀上述介电层使得上述同质外延结构突出自上述介电层以形成鳍片。在另外的例子中,异质外延(heteroepitaxial)结构可用于鳍片。举例而言,可凹蚀半导体条状物,并可于其位置中外延成长与半导体条状物不同的材料。
在另一例子中,可形成介电层于基板的顶表面上并蚀刻出穿过上述介电层的沟槽,可使用与基板不同的材料于上述沟槽中外延成长出异质外延结构,并可凹蚀上述介电层使得上述异质外延结构突出自上述介电层以形成鳍片。
在一些外延成长同质外延或异质外延结构的实施例中,可于成长时原位掺杂(insitu doped)所成长的材料,其可省略事先或事后的注入工艺,然而亦可一并使用原位掺杂及注入掺杂。再者,在NMOS区中外延成长的材料不同于在PMOS区中外延成长的材料可能会是有利的。在各实施例中,鳍片可包括硅化锗(SixGe1-x,其中x可约为0至1)、碳化硅、纯(或大抵上纯的)锗、III-V族化合物半导体、II-VI族化合物半导体或类似的材料。举例而言,可用于形成III-V族化合物半导体的材料包括但不限于InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP及类似的材料。
图5绘示出形成虚设栅极结构(dummy gate structure)75于半导体鳍片64上的步骤。在一些实施例中,虚设栅极结构75包括栅极介电质66以及栅极68。虚设栅极结构75可还包括掩模70。为了形成虚设栅极结构75,于半导体鳍片64及隔离区62之上形成介电层66。举例而言,介电层66可为氧化硅、氮化硅、多层的上述材料或类似的材料,且可以适当的技术沉积或热成长介电层66。在一些实施例中,介电层66可为高介电常数(high-k)介电材料,而在这些实施例中介电层66的介电常数(k value)约可大于7.0,且介电层66可包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金属氧化物或硅酸盐(silicate)、多层的上述材料或上述的组合。形成介电层66的方法可包括分子束沉积法(molecular-beam deposition,MBD)、原子层沉积法(atomic layer deposition,ALD)、等离子体辅助化学气相沉积法以及类似的方法。
形成栅极层68于介电层66之上,并形成掩模层70于栅极层68之上。可沉积栅极层68于介电层66之上,接着以如化学机械研磨的工艺将其平坦化。可沉积掩模层70于栅极层68之上。举例而言,栅极层68可由多晶硅所形成,然而亦可使用其他材料。在一些实施例中,栅极层68可包括含金属的(metal-containing)材料,例如:TiN、TaN、TaC、Co、Ru、Al、上述的组合或多层的上述材料。举例而言,掩模层70可由氮化硅或类似的材料所形成。
在形成上述膜层之后(例如:66、68及70),可使用适当的光刻及蚀刻技术图案化掩模层70以形成掩模70。接着可以适当的蚀刻技术将掩模70的图案分别转移至栅极层68及介电层66以形成栅极68及栅极介电质66。栅极68以及栅极介电质66覆盖相应的半导体鳍片64的沟道区。栅极68的长度方向(lengthwise direction)亦可实质上垂直于相应的半导体鳍片64的长度方向。
图6-图16沿着剖面A-A(沿着鳍片的纵轴)绘示出鳍式场效晶体管装置100的更进一步的工艺的剖面图。如图6所示,形成淡掺杂漏极(lightly doped drain,LDD)区65于鳍片64中。可以等离子体掺杂工艺形成淡掺杂漏极区65。上述等离子体掺杂工艺可注入N型或P型杂质于鳍片64中以形成淡掺杂漏极区65。在一些实施例中,淡掺杂漏极区65抵靠(abut)鳍式场效晶体管装置100的沟道区。部分的淡掺杂漏极区65可延伸至栅极68的下方并进入鳍式场效晶体管装置100的沟道区。图6绘示出淡掺杂漏极区65的非用来限定的例子。其他淡掺杂漏极区65的配置、形状以及形成方法亦为可行的且应被包括在本公开实施例的范围中。举例而言,可于形成第一栅极间隔物72之后形成淡掺杂漏极区65。
仍如图6所示,在形成淡掺杂漏极区65之后,形成栅极间隔物87于上述栅极结构之上。栅极间隔物87可包括第一栅极间隔物72以及第二栅极间隔物86。在图6的例子中,第一栅极间隔物72形成于栅极68的相对侧壁上以及栅极介电质66的相对侧壁上。第一栅极间隔物72亦可延伸于半导体鳍片64的上表面上以及隔离区62的上表面上(参照图5)。如图6所示,第二栅极间隔物86形成于第一栅极间隔物72之上。第一栅极间隔物72可由氮化物(例如:氮化硅)、氮氧化硅、碳化硅、碳氮化硅、类似的材料或上述的组合所形成,且可使用如热氧化工艺、化学气相沉积工艺或其他适当的沉积工艺形成第一栅极间隔物72。第二栅极间隔物86可由氮化硅、SiCN、上述的组合或类似的材料所形成,且可使用适当的沉积方法形成第二栅极间隔物86。
在一例示性的实施例中,形成栅极间隔物87的步骤包括先共形地沉积共形的第一栅极间隔物层72于鳍式场效晶体管装置100之上,然后共形地沉积第二栅极间隔物层86于所沉积的第一栅极间隔物层72上。接着,进行异向性蚀刻工艺(例如:干蚀刻工艺)以移除设置于鳍式场效晶体管装置100的上表面(例如:半导体鳍片64的上表面)上的第二栅极间隔物层86的第一部分,但保留沿着上述栅极结构的侧壁设置的第二栅极间隔物层86的第二部分。在异向性蚀刻工艺之后残留的第二栅极间隔物层86的第二部分形成第二栅极间隔物86。上述异向性蚀刻工艺亦移除设置于第二栅极间隔物86的侧壁外的栅极间隔物层72的部分,而所残留的第一栅极间隔物层72的部分形成第一栅极间隔物72。
图6所示的第一栅极间隔物72及第二栅极间隔物86的形状及形成方法仅为非限定的例子,且亦有可能为其他的形状及形成方法。举例而言,可于形成外延源极/漏极区80(参照图7)之后形成第二栅极间隔物86。在一些实施例中,于图7所示的外延源极/漏极区80的外延工艺之前形成虚设栅极间隔物于第一栅极间隔物72上,且在形成外延源极/漏极区80之后移除并以第二栅极间隔物86取代上述虚设栅极间隔物。
接着,如图7所示,形成源极/漏极区80。可蚀刻鳍片64以形成凹陷(recesses),并使用适当的方法(例如:有机金属化学气相沉积法(metal-organic CVD,MOCVD)、分子束外延法(molecular beam epitaxy,MBE)、液相外延成长法(liquid phase epitaxy,LPE)、气相外延成长法(vapor phase epitaxy,VPE)、选择性外延成长法(selective epitaxialgrowth,SEG)、类似的方法或上述的组合)于上述凹陷中外延成长一材料而形成源极/漏极区80。在外延成长源极/漏极区80之后,可以适当的方法(例如:蚀刻)移除掩模70。
如图7所示,外延源极/漏极区80可具有自相应的鳍片64的表面突起的表面(例如:突出高于鳍片64的未被凹蚀的部分)且可具有晶面(facets)。相邻的鳍片64的源极/漏极区80可合并(merge)以形成连续的外延源极/漏极区80。在一些实施例中,相邻的鳍片64的源极/漏极区80并未合并在一起而仍为分开的源极/漏极区80。在一些例示性的实施例中,所形成的场效晶体管为n型场效晶体管,其源极/漏极区80包括SiC、SiP、SiCP或类似的材料。在为替代方案的例示性的实施例中,所形成的场效晶体管为p型场效晶体管,其源极/漏极区80包括SiGe以及p型杂质(例如:硼或铟)。
可以掺质注入外延源极/漏极区80以形成源极/漏极区80,然后进行退火工艺。上述注入工艺可包括形成并图案化掩模(例如:光致抗蚀剂)以覆盖于注入工艺中需被保护的场效晶体管的区域。源极/漏极区80的杂质(例如:掺质(dopant))浓度可约为1E19cm-3至1E21cm-3。在一些实施例中,可于成长时原位掺杂外延源极/漏极区。
接着,如图8所示,形成第一层间介电层(ILD)90于图7中所示的结构之上,并进行后栅极工艺(gate-last process,有时候又称为替代栅极工艺(replacement gateprocess))。在后栅极工艺中,栅极68及栅极介电质66(参照图7)被视为虚设结构,其被移除并以主动栅极以及主动栅极介电质取代。
在一些实施例中,第一层间介电层90由介电材料(例如:磷硅玻璃(phosphosilicate glass,PSG)、硼硅玻璃(borosilicate glass,BSG)、硼磷硅玻璃(boron-doped phosphosilicate Glass,BPSG)、无掺杂硅玻璃(undoped silicate glass、USG)或类似的材料)所形成,且可以任何适当的方法沉积第一层间介电层90,例如:化学气相沉积法、等离子体辅助化学气相沉积法或流动式化学气相沉积法。可进行平坦化工艺(例如:化学机械研磨工艺)以平坦化第一层间介电层90的顶表面,使得第一层间介电层90的顶表面与栅极68的顶表面齐平(参照图7)。因此,在一些实施例中,栅极68的顶表面在上述化学机械研磨工艺之后露出。
根据一些实施例,在一或多个蚀刻步骤中移除栅极68以及直接在栅极68之下的栅极介电质66,以于每一鳍片64中形成凹陷(未绘示)。每一凹陷露出相应鳍片64的沟道区。每一沟道区设置于成对的邻近的外延源极/漏极区80之间。在移除虚设栅极时,虚设栅极介电层66可在蚀刻虚设栅极68时被用来充当蚀刻停止层。在移除虚设栅极68之后可接着移除虚设栅极介电层66。
此外,于图8中,形成栅极介电层96、阻挡层94以及栅极电极98以作为替代栅极(replacement gate)97。共形地沉积栅极介电层96于上述凹陷中,例如:在鳍片64的顶表面以及侧壁上、栅极间隔物72的侧壁上以及第一层间介电层90的顶表面上(未绘示)。根据一些实施例,栅极介电层96包括氧化硅、氮化硅或多层的上述材料。在其他的实施例中,栅极介电层96包括高介电常数介电材料,且在这些实施例中,栅极介电层96的介电常数值约大于7.0,且可包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金属氧化物或硅酸盐及上述的组合。形成栅极介电层96的方法可包括分子束沉积法、原子层沉积法、等离子体辅助化学气相沉积法以及类似的方法。
接着,共形地形成阻挡层94于栅极介电层96之上。阻挡层94可包括如氮化钛的导电材料,但亦可使用其他替代材料,例如:氮化钽、钛、钽或类似的材料。可使用化学气相沉积工艺(例如:等离子体辅助化学气相沉积工艺)形成阻挡层94。然而,亦可使用其他替代工艺,例如:溅镀或有机金属化学气相沉积工艺、原子层沉积工艺。
接着,沉积栅极电极98于阻挡层94之上,且其填充上述凹陷的残留部分。栅极电极98可由含金属的材料所形成,例如:TiN、TaN、TaC、Co、Ru、Al、上述的组合或多层的上述材料,且可以如电镀、无电镀(electroless plating)或其他适当的方法形成栅极电极98。在形成栅极电极98之后,可进行如化学机械研磨的平坦化工艺以移除栅极介电层96、阻挡层94、以及栅极电极98的材料的多余的部分,上述多余的部分位于第一层间介电层90的顶表面之上。栅极电极98的材料、阻挡层94以及栅极介电层96的残留部分形成了鳍式场效晶体管装置100的替代栅极97。
接着,于图9中,沉积第二层间介电层95于第一层间介电层90之上。在一实施例中,第二层间介电层95为以流动式化学气相沉积法所形成的可流动的薄膜。在一些实施例中,第二层间介电层95由介电材料(例如:磷硅玻璃、硼硅玻璃、硼磷硅玻璃、无掺杂硅玻璃或类似的材料)所形成,且可使用任何适当的方法(例如:化学气相沉积法及等离子体辅助化学气相沉积法)沉积第二层间介电层95。形成用于接点102(参照图15或图19)的接点开口91及93,其穿过第一层间介电层90及/或第二层间介电层95。举例而言,所形成的接点开口91穿过第二层间介电层95并露出替代栅极97,而所形成的接点开口93则穿过第一层间介电层90及第二层间介电层95并露出源极/漏极区80。
接着,于图10中,形成阻挡层104于第二层间介电层95之上。在一些实施例中,共形地形成阻挡层104于第二层间介电层95之上且其衬于接点开口91/93的侧壁及底部。阻挡层104可包括导电材料(例如:Ti、TiN、Ta、TaN或类似的材料),且可使用化学气相沉积工艺(例如:等离子体辅助化学气相沉积工艺)形成阻挡层104。然而,亦可使用其他替代工艺,例如:溅镀或有机金属化学气相沉积工艺、物理气相沉积工艺(physical vapor deposition,PVD)、原子层沉积工艺。于本公开实施例中,阻挡层104可称为导电的(例如:电气传导性(electrically conductive))阻挡层。
在一些实施例中,阻挡层104包括超过一层的材料。举例而言,阻挡层104可包括第一膜层(未个别绘示)以及第二膜层(未个别绘示)。第一膜层包括第一导电膜层,而第二膜层包括不同于第一导电膜层的第二导电膜层。阻挡层104的第一膜层可接触第二层间介电层95的上表面以及经由接点开口91/93而露出的层间介电层90/95的侧壁,第一膜层亦可接触外延源极/漏极区80及金属栅极97。阻挡层104的第二膜层可共形地形成于阻挡层104的第一膜层之上,其中第一膜层可设置在第一层间介电层90(或第二层间介电层95)与第二膜层之间。阻挡层104的第一膜层可包括可与半导体材料(例如:硅、锗)反应以形成硅化物区或锗化物区的第一金属,例如:镍、钴、钛、钽、铂、钨、其他贵金属、其他难熔金属(refractory metals)、稀土金属或其合金。在一些实施例中,阻挡层104的第一膜层于后续的热退火工艺中与外延源极/漏极区80反应以形成硅化物区或锗化物区。阻挡层104的第二膜层可包括适当的材料(例如:TaN、TiN)以阻止金属层110的扩散(参照图14)。在一例示性的实施例中,阻挡层104的第一膜层包括金属(例如:Ta、Ti),而阻挡层104的第二膜层包括金属氮化物(例如:TaN、TiN)。
如图11所示,在形成阻挡层104之后进行热退火工艺510(例如:快速热退火(rapidthermal anneal,RTA)工艺)。在一些实施例中,热退火工艺510于外延源极/漏极区80(例如:外延硅区)与阻挡层104之间的介面形成硅化物区82。在其他的实施例中,热退火工艺510于外延源极/漏极区80(例如:外延锗区)与阻挡层104之间的介面形成锗化物区82。在又一些其他的实施例中,热退火工艺510于外延源极/漏极区80(例如:包括硅及锗的外延区)与阻挡层104之间的介面形成硅化锗区(例如:包括硅化物及锗化物的区域)82。在所绘示的实施例中,于层间介电层90/95与阻挡层104之间的介面未形成硅化物、锗化物或硅化锗。在一些阻挡层104不包括含有可形成硅化物或锗化物的金属(例如:Ti或Ta)的第一膜层的实施例中,可省略热退火工艺510。
接着,如图12所示,移除第二层间介电层95的上表面95U上以及沿着接点开口91/93的上侧壁的阻挡层104的部分。可使用湿蚀刻工艺移除上述阻挡层104的部分,但亦可使用其他适当的移除方法。在一实施例中,形成如光致抗蚀剂的掩模层(未绘示)于接点开口91/93的底部以部分地填充接点开口91/93,使得设置于接点开口91/93的下侧壁及底部上的阻挡层104的部分被掩模层覆盖。接着,进行湿蚀刻工艺以移除未被掩模层覆盖的阻挡层104的部分。在上述湿蚀刻工艺之后,可以适当的工艺(例如:灰化(ashing))移除掩模层。
在一些实施例中,第二层间介电层95的上表面95U与接点开口93中的阻挡层104的残留部分的上表面之间的第一距离D1约为20nm至35nm,但第一距离D1亦可为其他尺寸,且可由如工艺技术及设计来决定第一距离D1的尺寸。在一些实施例中,第二层间介电层95的上表面95U与接点开口91中的阻挡层104的残留部分的上表面之间的第二距离D2约为20nm至35nm,但第二距离D2亦可为其他尺寸,且可由如工艺技术及设计决定第二距离D2的尺寸。在一些实施例中,第一距离D1可相同于第二距离D2。在其他的实施例中,第一距离D1与第二距离D2可相异。
接着,如图13所示,共形地形成第二阻挡层于第二层间介电层95以及阻挡层104的残留部分之上。在一些实施例中,第二阻挡层包括与阻挡层104相同的材料(例如:TiN、TaN),因此第二阻挡层以及阻挡层104的残留部分于图13中被绘示为阻挡层105。值得注意的是,于接点开口91/93的下部的阻挡层104的残留部分(参照图12)使得阻挡层105的上部具有第一宽度T1,而阻挡层105的下部具有第二宽度T2,且T2大于T1。阻挡层105的上部指的可以是第二层间介电层95上的阻挡层105的部分及/或延伸进入接点开口91/93至深度小于或等于D1(于接点开口93中)或D2(于接点开口91中)的阻挡层105的部分。阻挡层105的下部指的可以是延伸进入接点开口91/93至深度大于D1(于接点开口93中)或D2(于接点开口91中)的阻挡层105的部分。
如图13所示,阻挡层105于阻挡层105的上部与阻挡层105的下部邻接(adjoin)处呈阶梯形(step shape)。接点开口93的第一宽度W1(于相对的阻挡层105的上部之间量测)大于接点开口93的第二宽度W2(于相对的阻挡层105的下部之间量测)。类似地,接点开口91的第三宽度W3(于相对的阻挡层105的上部之间量测)大于接点开口91的第四宽度W4(于相对的阻挡层105的下部之间量测)。
在先进的半导体工艺中,使用如物理气相沉积或化学气相沉积的沉积方法于高深宽比的开口(例如:接点开口91/93)中形成膜层(例如:共形籽晶层)具有挑战性。接点开口91/93的上部具有较宽的开口宽度(例如:W1及W3),使得所沉积的材料较容易进入接点开口91/93以形成如插入层106(参照图14)以及籽晶层108(参照图15)的沉积层,而于接点开口91/93的下部的较厚的阻挡层105则可达到较佳的金属(例如:铜)扩散控制。
接着,如图14所示,共形地形成导电插入层106于阻挡层105之上。在一些实施例中,插入层106包括贵金属,其可抵抗化学反应(例如:氧化)。插入层106可包括WN、TiN、Ru、Pt、类似的材料,且可以任何适当的形成方法(例如:物理气相沉积法、化学气相沉积法或原子层沉积法)形成插入层106。在一例示性的实施例中,阻挡层105由TiN所形成,而插入层106由WN所形成。在一些实施例中,导电插入层106的厚度约为5埃(angstrom)至15埃。在一些实施例中,插入层106有助于降低后续所形成的籽晶层108(例如:包括Co的籽晶层)的粗糙度,而可避免或减少后续工艺中所形成的接点插塞102的导电层110中(参照图16及图19)的空孔(void,例如:空的空间),于后文将对此详细说明。不限定于某特定的理论,据信在氧化物层上所形成的籽晶层具有较粗糙的籽晶颗粒(seed particles),而可能于形成于上述籽晶层上的导电层中产生空孔。可经由形成插入层(例如:贵金属)降低或避免氧化反应,而可降低籽晶颗粒的尺寸以形成较平滑的籽晶层,进而降低或防止形成在籽晶层上的导电层中的空孔。
如图15所示,在形成插入层106之后,形成籽晶层108于插入层106之上。可以物理气相沉积法、原子层沉积法或化学气相沉积法沉积籽晶层108,且籽晶层108可由钨、铜或铜合金所形成,但亦可使用其他适当的方法及材料替代。在一例示性的实施例中,籽晶层108包括Co,且使用原子层沉积工艺形成。在所绘示的实施例中,所进行的形成钴籽晶层108的原子层沉积工艺使用包括H2及二钴六羰基丁基乙炔(Dicobalt Hexacarbonyl Tert-Butylacetylene,CCTBA)的前驱物,其中上述二钴六羰基丁基乙炔(CCTBA)的分子结构为Co2(CO)6(HCC(CH3))。在一些实施例中,用于原子层沉积工艺中的前驱物二钴六羰基丁基乙炔处于液态。根据一些实施例,所进行的形成钴籽晶层108的原子层沉积工艺在温度约为150℃至200℃(例如:175℃)以及压力约为10torr至20torr(例如:15torr)之下使用Ar载送气体(carrier gas)。在一些实施例中,H2的流速(flow rate)约为2000sccm(standardcubic center meter per minute)至8000sccm(例如:4000sccm),而Ar载送气体的流速约为200至600sccm(例如:400sccm)。
如图16所示,形成籽晶层108之后,可形成导电材料110至籽晶层108之上。导电材料110可包括钨,但亦可使用其他适当的材料替代,例如:铝、铜、氮化钨、钌、银、金、铑、钼、镍、钴、镉、锌、上述的合金、上述的组合以及类似的材料。可使用任何适当的沉积方法(例如:物理气相沉积法、化学气相沉积法、原子层沉积法、镀覆(例如:电镀)以及回焊(reflow))形成导电材料110。在一例示性的实施例中,导电材料110为Co,且以电镀工艺将导电材料110形成至籽晶层108之上以填充(fill)并超出(overfill)接点开口91/93。
在填充接点开口91/93之后,可以如化学机械研磨的平坦化工艺移除接点开口91/93外的多余的阻挡层105、插入层106、籽晶层108以及导电材料110,但亦可使用任何适当的移除工艺。由此,接点插塞102形成于接点开口91/93之中。
在一些实施例中,在形成籽晶层108的时候(例如:使用原子层沉积工艺或化学气相沉积工艺),籽晶层108的碳的比率可能较高(例如:约高于20原子百分比(atomicpercent))。籽晶层108中的碳可能来自于于沉积籽晶层108的工艺中使用的前驱物(例如:二钴六羰基丁基乙炔)。如此高的碳比率(例如:高于20at.%)可能使得籽晶颗粒(例如:Co颗粒)较粗糙(例如:其尺寸或直径约大于2nm至10nm)。粗糙的籽晶颗粒可能造成非连续的(non-continuous)籽晶层108。举例而言,籽晶层108可能具有露出其下的膜层(例如:插入层106)的孔洞或裂痕。于后文中,可交替使用籽晶层108的孔洞及裂痕,且具有孔洞或裂痕的籽晶层108可被描述为不连续的(discontinuous)。若在没有插入层106的情况下镀覆,于与籽晶层108中的孔洞/裂痕相邻的(例如:在其上)导电材料110的部分中可能形成空孔(例如:空的空间),这是因为在孔洞/裂痕之上较难镀覆导电材料110。接点插塞102中的空孔增加了接点插塞的电阻而负面地影响所形成的半导体装置的电性连接的可靠度(reliability of the electrical connection)。
本公开实施例通过形成插入层106于阻挡层104与籽晶层108之间以减少或防止接点插塞102的导电材料110中的空孔的形成。在镀覆工艺中,可镀覆导电材料110于籽晶层108以及籽晶层108中的孔洞/裂痕所露出的插入层106的部分之上,因此不管于籽晶层108中是否有孔洞或裂痕,皆可形成连续的导电材料110(例如:没有空孔或较少的空孔)于籽晶层108的表面上。于本公开实施例中所形成的接点插塞102几乎没有或没有空孔,因此其电阻较低且可提供所形成的半导体装置可靠的电性连接。
图17-图19根据另一实施例绘示出在各工艺阶段的鳍式场效晶体管装置100的剖面图。于图17中所绘示的工艺步骤接续图13所绘示的工艺步骤,因此图2-图13及图17-图19绘示出另一实施例的工艺步骤。
如图17所示,在形成阻挡层105之后,形成籽晶层108于阻挡层105之上。可以物理气相沉积法、原子层沉积法或化学气相沉积法沉积籽晶层108,且籽晶层108可由钨、铜或铜合金所形成,但亦可使用其他适当的方法及材料替换。在一例示性的实施例中,籽晶层108包括Co,且可使用原子层沉积工艺形成籽晶层108。在一些实施例中,所进行的形成钴籽晶层108的原子层沉积工艺在温度约为150℃至200℃(例如:175℃)而压力约为10torr至20torr(例如:15torr)之下使用包括H2以及二钴六羰基丁基乙炔(例如:处于液态的二钴六羰基丁基乙炔)的前驱物以及使用Ar载用气体。在一些实施例中,H2的流速约为2000sccm至8000sccm(例如:4000sccm),而Ar载送气体的流速约为200至600sccm(例如:400sccm)。
接着,于图18中,进行工艺610以处理籽晶层108,使得籽晶层108中的碳的比率降低。举例而言,可进行使用一或多种反应物种(reactive species)的等离子体工艺,上述反应物种可与籽晶层108中的碳反应而形成可轻易从沉积腔室移除的产物(例如:气体产物)而降低籽晶层108中的碳的比率。在完成工艺610(例如:等离子体工艺)之后,籽晶层108变成经处理的籽晶层109。在一些实施例中,所进行的等离子体工艺610使用H2的等离子体。根据一实施例,等离子体工艺610中所使用的等离子体于沉积腔室中产生。H2的流速可约为2000sccm至8000sccm(例如:4000sccm)。等离子体工艺610的压力可约为2torr至10torr(例如:5torr),而上述等离子体工艺的射频功率(RF power)可约为200瓦特(watt)至600瓦特(例如:400瓦特)。在一些实施例中,H2等离子体与籽晶层108中的碳反应并产生气体产物(例如:CO),其被从沉积腔室排出。使用H2的等离子体工艺610的化学反应方程式如下:
Co(CO)X(HC≡CtBu)+H2→Co+xCO+(HC=HC–tBu)H (1)。
在籽晶层108形成时(例如:使用原子层沉积工艺或化学气相沉积工艺),籽晶层108的碳的比率可能较高(例如:约高于20at.%)。承前述,肇因于粗糙的籽晶颗粒(例如:Co籽晶颗粒),如此高的碳比率可能于后续形成的接点插塞中产生空孔。于此公开的等离子体工艺610降低了籽晶层的碳的比率。在一些实施例中,在等离子体工艺610之后,经处理的籽晶层109的碳的比率约低于20at.%。减少碳的比率可降低籽晶颗粒的粗糙度(例如:尺寸),而可进一步减少经处理的籽晶层109中的裂痕或孔洞。因此,可减少或避免接点插塞102中的空孔。
接着,于图19中,可形成导电材料110至经处理的籽晶层109之上。导电材料110可包括钨,但亦可使用其他适当的材料替代,例如:铝、铜、氮化钨、钌、银、金、铑、钼、镍、钴、镉、锌、上述的合金、上述的组合及类似的材料。可使用任何适当的沉积方法(例如:物理气相沉积法、化学气相沉积法、原子层沉积法、电镀以及回焊)形成导电材料110。在一例示性的实施例中,导电材料110为Co,且可以电镀工艺将导电材料110电镀至经处理的籽晶层109上以填充并超出接点开口91/93。
在填充接点开口91/93之后,可以如化学机械研磨的平坦化工艺移除在接点开口91/93之外的多余的阻挡层105、经处理的籽晶层109以及导电材料110,但亦可使用任何适当的移除工艺。经此,接点插塞102形成于接点开口91/93之中。
可变化或修改于此公开的实施例。举例而言,替代栅极97的形成可遵循类似于前述形成接点插塞102的工艺步骤。举例而言,如图8所示,在形成栅极介电层96之后,使用如原子层沉积的工艺形成籽晶层(例如:Co籽晶层),且类似于图17-图19中所绘示的工艺,可在使用镀覆工艺形成栅极电极98于经处理的籽晶层之上的步骤之前使用等离子体工艺(例如:等离子体工艺610)处理所沉积的籽晶层以降低其碳的比率(进而降低其籽晶颗粒的粗糙度)。另举一个例子,仍如图8所示,类似于图10-图16中所绘示的工艺,在形成栅极介电层96之后以及在使用镀覆工艺形成栅极电极98的步骤之前,依序形成阻挡层、插入层以及籽晶层于栅极介电层96之上。可视情况(例如:取决于设计)进行或不进行热退火工艺510。
再举一个例子,图17-图19中所绘示的实施例可结合图2-图16中所绘示的实施例。尤其是,在形成籽晶层108于插入层106之上(如图15所示)的步骤之后以及形成导电材料110(如图16所示)的步骤之前,可进行类似于图18的等离子体工艺610以降低籽晶层108的碳的比率。在完成等离子体工艺之后,可形成导电材料110于经等离子体处理的籽晶层之上。此些及其他对于此处所公开的实施例方法的变化或修改是可能的,且应被完全地包括在本公开实施例的范围内。
图20根据一些实施例绘示出半导体结构的形成方法的流程图。应理解的是,图20中所示的实施例方法仅为诸多可能的实施例方法中的一个例子。本领域技术人员应能理解许多变化、替代及修改。举例而言,可增加、移除、取代、重新排列和重复图20中所绘示的各步骤。
如图20所示,于步骤1010,形成第一开口于基板上的介电层中。于步骤1020,以导电阻挡层内衬第一开口的侧壁及底部。于步骤1030,沉积籽晶层于导电阻挡层之上。于步骤1040,以等离子体工艺处理籽晶层。于步骤1050,在处理上述籽晶层的步骤之后以导电材料填充第一开口。
实施例可能达到一些优点。在一实施例中,经由于镀覆的步骤之前以等离子体工艺处理所沉积的籽晶层,经处理的籽晶层的碳的比率降低(例如:约低于20at.%)。较低的碳比率可降低籽晶颗粒的粗糙度,而可减少经处理的籽晶层的孔洞/裂痕,进而减少或防止于接点插塞中形成空孔。在另一实施例中,形成导电插入层于阻挡层与籽晶层之间。不论籽晶层中是否具有孔洞/裂痕,导电插入层可有利于连续地镀覆导电材料(例如:导电材料110)于籽晶层之上。因此,于本公开实施例中所公开的方法可形成几乎没有或没有空孔的接点插塞。几乎没有或没有空孔的接点插塞提供所形成的装置可靠的电性连接且具有低电阻。
在一些实施例中,一方法包括形成第一开口于基板上的介电层中、以导电阻挡层内衬第一开口的数个侧壁及底部以及沉积籽晶层于导电阻挡层之上。上述方法亦包括以等离子体工艺处理上述籽晶层以及在处理上述籽晶层之后以导电材料填充上述第一开口。
如本公开一些实施例所述的方法,其中上述导电阻挡层包括一包括第一金属的第一膜层以及一包括金属氮化物的第二膜层,其中上述第一膜层位于上述介电层及上述第二膜层之间。
如本公开一些实施例所述的方法,还包括于以上述导电阻挡层内衬上述第一开口的数个侧壁及底部之后进行热退火工艺。
如本公开一些实施例所述的方法,其中上述籽晶层包括钴,且其中上述等离子体工艺降低了上述籽晶层中的碳的比率。
如本公开一些实施例所述的方法,其中上述等离子体工艺将上述籽晶层中的碳的比率降低至约20at.%以下。
如本公开一些实施例所述的方法,其中处理上述籽晶层的步骤包括使用H2进行上述等离子体工艺。
如本公开一些实施例所述的方法,其中填充上述第一开口的步骤包括进行镀覆工艺以填充上述第一开口。
如本公开一些实施例所述的方法,还包括形成导电插入层(insertion layer)于上述导电阻挡层及上述籽晶层之间。
如本公开一些实施例所述的方法,其中上述导电阻挡层包括TiN,而上述导电插入层包括WN。
如本公开一些实施例所述的方法,其中在沉积上述籽晶层的步骤之后,上述籽晶层具有孔洞或裂痕(discontinuities),其中处理上述籽晶层的步骤减少了上述籽晶层的孔洞或裂痕。
在另一些实施例中,一半导体装置中的接点的形成方法包括沉积导电阻挡层于半导体装置的介电层中的开口的数个侧壁及底部上、形成导电插入层于上述导电阻挡层之上、形成籽晶层于上述导电插入层之上。上述籽晶层具有孔洞。上述方法亦包括镀覆导电材料于上述籽晶层之上以填充上述开口。
如本公开另一些实施例所述的方法,其中上述镀覆的步骤包括于上述籽晶层的孔洞所露出的上述导电插入层的部分上镀覆上述导电材料。
如本公开另一些实施例所述的方法,其中上述导电插入层包括WN、TiN、Ru或Pt。
如本公开另一些实施例所述的方法,其中沉积上述导电阻挡层的步骤包括形成一包括第一金属的第一膜层于上述开口的数个侧壁及底部上以及形成一包括金属氮化物的第二膜层于上述第一膜层上。上述第一金属可形成硅化物或锗化物。
如本公开另一些实施例所述的方法,还包括在沉积上述导电阻挡层之后进行退火工艺,其中以上述退火工艺形成硅化物、锗化物或硅化锗(silicon germanide)。
如本公开另一些实施例所述的方法,于形成上述籽晶层的步骤之后以及镀覆上述导电材料的步骤之前还包括进行等离子体工艺以降低上述籽晶层的碳的比率。
在又一些实施例中,一鳍式场效晶体管的形成方法包括形成突出高于基板的鳍片、形成介电层于上述鳍片之上、形成第一开口于上述介电层中。上述第一开口露出上述鳍片的源极/漏极区。上述方法亦包括形成导电阻挡层衬于上述第一开口。形成上述导电阻挡层的步骤包括沉积第一导电膜层于第一开口的数个侧壁及底部之上以及沉积第二导电膜层于第一导电膜层之上。上述第二导电膜层不同于上述第一导电膜层。上述方法还包括于形成上述导电阻挡层之后进行退火工艺、沉积包括碳的籽晶层于上述导电阻挡层之上、处理上述籽晶层以降低上述籽晶层中的碳的比率以及镀覆导电材料于上述籽晶层上以填充上述第一开口。
如本公开又一些实施例所述的方法,其中在处理上述籽晶层的步骤之前,上述籽晶层包括多于20at.%的碳,其中在处理上述籽晶层的步骤之后,上述籽晶层包括少于20at.%的碳。
如本公开又一些实施例所述的方法,于进行上述热退火工艺之后以及沉积上述籽晶层的步骤之前还包括形成导电插入层。
如本公开又一些实施例所述的方法,其中上述导电插入层包括WN、TiN、Ru或Pt。
上述内容概述许多实施例的特征,因此任何本领域技术人员,可更加理解本公开实施例的各面向。任何本领域技术人员,可能无困难地以本公开实施例为基础,设计或修改其他工艺及结构,以达到与本公开实施例相同的目的及/或得到相同的优点。任何本领域技术人员也应了解,在不脱离本公开实施例的精神和范围内做不同改变、代替及修改,如此等效的创造并没有超出本公开实施例的精神及范围。虽然已经参照说明性的实施例进行了描述,但其不应被理解为限制性的。本领域的技术人员可参照说明书而轻易地明白本公开的说明性的实施例以及其他实施例的各种修改和组合。因此,所附权利要求应涵盖任何如此的修改或实施例。

Claims (54)

1.一种半导体装置的形成方法,包括:
形成一第一开口于一基板上的一介电层中;
以一导电阻挡层内衬该第一开口的多个侧壁及一底部,其中该导电阻挡层沿着该第一开口的多个上侧壁具有一第一厚度,且该导电阻挡层沿着该第一开口的多个下侧壁和该底部具有一第二厚度,该第一厚度小于该第二厚度,其中从该第一厚度到该第二厚度存在阶跃变化;
沉积一籽晶层于该导电阻挡层上,其中该籽晶层包括钴;
以一等离子体工艺处理该籽晶层,其中该等离子体工艺将该籽晶层中的碳的比率降低至20at.%以下;以及
于处理该籽晶层之后,以一导电材料填充该第一开口。
2.如权利要求1所述的半导体装置的形成方法,其中处理该籽晶层的步骤包括使用H2进行该等离子体工艺。
3.如权利要求1所述的半导体装置的形成方法,其中填充该第一开口的步骤包括进行镀覆工艺以填充该第一开口。
4.如权利要求1所述的半导体装置的形成方法,还包括形成导电插入层于该导电阻挡层及该籽晶层之间。
5.如权利要求4所述的半导体装置的形成方法,其中该导电阻挡层包括TiN,且该导电插入层包括WN。
6.如权利要求1所述的半导体装置的形成方法,其中在沉积该籽晶层的步骤之后,该籽晶层具有孔洞或裂痕,其中处理该籽晶层的步骤减少了该籽晶层的孔洞或裂痕。
7.如权利要求1所述的半导体装置的形成方法,其中以该导电阻挡层内衬该第一开口的该多个侧壁及该底部包括:
在该第一开口的该多个侧壁及该底部上形成一第一导电阻挡层;
移除设置于该第一开口的多个上侧壁上的该第一导电阻挡层,同时保留在该第一开口的多个下侧壁上和该第一开口的该底部上的该第一导电阻挡层;以及
在该移除步骤之后,在该第一导电阻挡层上和该第一开口的该多个上侧壁上形成一第二导电阻挡层。
8.如权利要求7所述的半导体装置的形成方法,其中该第二导电阻挡层是由与该第一导电阻挡层相同的材料形成。
9.如权利要求1所述的半导体装置的形成方法,其中该等离子体工艺的进行是在H2的流速为2000sccm至8000sccm,压力为2torr至10torr,且用于该等离子体工艺的射频功率为200瓦特至600瓦特。
10.如权利要求1所述的半导体装置的形成方法,其中该等离子体工艺使用H2等离子体,其中该H2等离子体与该籽晶层中的碳反应以产生气体产物CO。
11.一种半导体装置中的接点的形成方法,包括:
沉积一导电阻挡层于一半导体装置的一介电层中的一开口的多个侧壁及一底部上,其中沉积该导电阻挡层包括:
共形地沉积一第一导电阻挡层于该开口的该多个侧壁及该底部上;
移除设置于该开口的多个上侧壁上的该第一导电阻挡层的多个第一部分,同时保留在该开口的多个下侧壁和该底部上的该第一导电阻挡层的多个第二部分;以及
共形地沉积一第二导电阻挡层于该开口的该多个上侧壁上和该第一导电阻挡层的该第二部分正上方,其中在共形地沉积该第二导电阻挡层的步骤之后,该导电阻挡层具有阶跃变化位于该导电阻挡层的一上部邻接该导电阻挡层的一下部之处;
形成一导电插入层于该第二导电阻挡层上;
形成一籽晶层于该导电插入层上,该籽晶层具有多个孔洞;以及
镀覆一导电材料于该籽晶层上以填充该开口。
12.如权利要求11所述的半导体装置中的接点的形成方法,其中该镀覆的步骤包括于该籽晶层的该多个孔洞所露出的该导电插入层的部分上镀覆该导电材料。
13.如权利要求11所述的半导体装置中的接点的形成方法,其中该导电插入层包括WN、TiN、Ru或Pt。
14.如权利要求11所述的半导体装置中的接点的形成方法,其中沉积该导电阻挡层的步骤包括:
形成包括一第一金属的一第一膜层于该开口的该多个侧壁及该底部上,该第一金属可形成硅化物或锗化物;以及
形成包括金属氮化物的一第二膜层于该第一膜层上。
15.如权利要求14所述的半导体装置中的接点的形成方法,还包括在形成该第二膜层的步骤之后且在移除该第一导电阻挡层的该多个第一部分的步骤之前,进行退火工艺,其中以该退火工艺形成硅化物、锗化物或硅化锗。
16.如权利要求11所述的半导体装置中的接点的形成方法,还包括在形成该籽晶层的步骤之后且在镀覆该导电材料的步骤之前,进行等离子体工艺以降低该籽晶层的碳的比率。
17.一种鳍式场效晶体管的形成方法,包括:
形成突出高于一基板的一鳍片;
形成一介电层于该鳍片上;
形成一第一开口于该介电层中,该第一开口露出该鳍片的一源极/漏极区;
形成一第一导电阻挡层衬于该第一开口,形成该第一导电阻挡层的步骤包括:
沉积一第一导电膜层于该第一开口的多个侧壁及一底部上;以及
沉积一第二导电膜层于该第一导电膜层上,该第二导电膜层不同于该第一导电膜层;
在形成该第一导电阻挡层之后进行热退火工艺;
在该第一开口的该底部形成一掩模层,该掩模层覆盖该第一导电阻挡层的多个下部,该第一导电阻挡层的该多个下部靠近该第一开口的该底部,该掩模层暴露出该第一导电阻挡层的多个上部;
进行蚀刻工艺以移除该第一导电阻挡层的暴露出的该多个上部,同时该掩模层保护该第一导电阻挡层的该多个下部不受该蚀刻工艺的影响;
在该蚀刻工艺之后移除该掩模层;
形成一第二导电阻挡层于该第一导电阻挡层正上方和该第一开口的多个上侧壁上,其中该第一导电阻挡层和该第二导电阻挡层形成一非共形的导电阻挡层,其中该非共形的导电阻挡层具有阶跃变化于该非共形的导电阻挡层的一上部和一下部之间;
沉积包括碳的一籽晶层于该第二导电阻挡层上;
处理该籽晶层以降低该籽晶层中的碳的比率;以及
镀覆一导电材料于该籽晶层上以填充该第一开口。
18.如权利要求17所述的鳍式场效晶体管的形成方法,其中在处理该籽晶层的步骤之前,该籽晶层包括多于20at.%的碳,其中在处理该籽晶层的步骤之后,该籽晶层包括少于20at.%的碳。
19.如权利要求17所述的鳍式场效晶体管的形成方法,还包括在形成该第二导电阻挡层的步骤之后且在沉积该籽晶层的步骤之前,形成一导电插入层。
20.如权利要求17所述的鳍式场效晶体管的形成方法,其中该导电插入层包括WN、TiN、Ru或Pt。
21.一种半导体装置的形成方法,包括:
形成一第一开口于一基板上的一介电层中;
形成一非共形的导电阻挡层沿着第一开口的多个侧壁和沿着该第一开口的一底部,其中靠近该第一开口的该底部的该导电阻挡层的多个下部比远离该基板的该导电阻挡层的多个上部厚,其中从该导电阻挡层的该上部到该导电阻挡层的该下部存在阶跃变化;
沉积一籽晶层于该导电阻挡层上;以及
在沉积该籽晶层之后,以一导电材料填充该第一开口。
22.如权利要求21所述的半导体装置的形成方法,还包括在填充该第一开口的步骤之前,以等离子体工艺处理该籽晶层。
23.如权利要求22所述的半导体装置的形成方法,其中该等离子体工艺的进行使用H2
24.如权利要求22所述的半导体装置的形成方法,其中该籽晶层包括钴和碳,其中该等离子体工艺降低该籽晶层的碳的比率。
25.如权利要求21所述的半导体装置的形成方法,其中该非共形的导电阻挡层包括:
形成一第一膜层衬于该第一开口的该多个侧壁和该底部,该第一膜层包括一第一金属;以及
形成一第二膜层于该第一膜层上,该第二膜层包括金属氮化物,该金属氮化物是该第一金属的氮化物。
26.如权利要求25所述的半导体装置的形成方法,还包括在形成该第二膜层的步骤之后,进行热退火工艺。
27.如权利要求26所述的半导体装置的形成方法,其中该第一开口暴露出在该介电层下方的一源极/漏极区,其中在该热退火工艺期间,该第一膜层的多个部分与该源极/漏极区反应以形成一硅化物区。
28.如权利要求26所述的半导体装置的形成方法,其中形成该非共形的导电阻挡层还包括:
在该热退火工艺之后,移除沿着该第一开口的多个上侧壁设置的该第一膜层的多个上部和该第二膜层的多个上部,同时保留沿着该第一开口的多个下侧壁设置的该第一膜层的多个下部和该第二膜层的多个下部;以及
在该移除步骤之后,形成一第三膜层于该第二膜层上,该第三膜层具有与该第二膜层相同的组成。
29.如权利要求21所述的半导体装置的形成方法,还包括在形成该非共形的导电阻挡层的步骤之后且在沉积该籽晶层的步骤之前,形成一插入层。
30.如权利要求29所述的半导体装置的形成方法,其中该非共形的导电阻挡层包括氮化钛,且该插入层包括氮化钨。
31.一种鳍式场效晶体管的形成方法,包括:
形成突出高于一基板的一鳍片;
形成一栅极于该鳍片上;
形成多个源极/漏极区于该栅极的两侧上;
形成一介电层于该鳍片上和该栅极上;
形成一第一开口于该介电层中,该第一开口暴露出该多个源极/漏极区之一;
形成一非共形的导电阻挡层于该第一开口中,其中该非共形的导电阻挡层的一下部比该非共形的导电阻挡层的一上部厚,其中该非共形导电阻挡层具有阶梯形于该非共形的导电阻挡层的该上部和该下部之间;
沉积一籽晶层于该非共形的导电阻挡层上;以及
镀覆一导电材料于该籽晶层上以填充该第一开口。
32.如权利要求31所述的鳍式场效晶体管的形成方法,还包括在形成该非共形的导电阻挡层之后且在沉积该籽晶层之前,形成一导电插入层。
33.如权利要求32所述的鳍式场效晶体管的形成方法,其中该导电插入层包括贵金属。
34.如权利要求31所述的鳍式场效晶体管的形成方法,还包括在镀覆该导电材料的步骤之前,以等离子体工艺处理该沉积的籽晶层。
35.如权利要求34所述的鳍式场效晶体管的形成方法,其中该等离子体工艺的进行使用包括氢的气体。
36.一种鳍式场效晶体管装置,包括:
一鳍片,突出高于一基板;
一栅极,位于该鳍片上;
一源极/漏极区,位于该鳍片中且邻近该栅极;
一层间介电层,位于该栅极周围;
一介电层,位于该层间介电层上方;以及
一源极/漏极接点,延伸穿过该介电层且进入该层间介电层,该源极/漏极接点电耦接该源极/漏极区,该源极/漏极接点包括:
一阻挡层,接触该层间介电层和该介电层,其中远离该基板的该阻挡层的一上部比靠近该源极/漏极区的该阻挡层的一下部薄,其中该阻挡层的该上部和该下部之间存在阶跃变化;
一金属层;以及
一籽晶层,位于该阻挡层和该金属层之间。
37.如权利要求36所述的鳍式场效晶体管装置,还包括一导电插入层位于该阻挡层和该籽晶层之间。
38.如权利要求37所述的鳍式场效晶体管装置,其中该导电插入层包括WN、TiN、Ru或Pt。
39.如权利要求36所述的鳍式场效晶体管装置,其中沿着垂直于该层间介电层的上表面的方向量侧该阻挡层的该上部的高度为20nm至35nm。
40.如权利要求36所述的鳍式场效晶体管装置,还包括一硅化物区位于该源极/漏极接点和该源极/漏极区之间。
41.一种半导体装置的形成方法,包括:
形成一开口于一介电层中以暴露出一导电部件;
形成一第一阻挡层沿着该开口的多个侧壁和一底部;
移除该第一阻挡层的多个上部,同时保留靠近该导电部件的该第一阻挡层的多个下部,其中在移除该第一阻挡层的该多个上部之后,暴露出该介电层的多个上侧壁;
形成一第二阻挡层于该介电层的暴露出的该多个上侧壁上及该第一阻挡层的该多个下部上,其中该第二阻挡层和该第一阻挡层的该多个下部形成一非共形的阻挡层,其中该非共形的阻挡层具有阶跃变化于该非共形的阻挡层的多个上部和该非共形的该阻挡层的多个下部之间;
形成一籽晶层于该非共形的阻挡层上;
在形成该籽晶层的步骤之后,以一导电材料填充该开口;以及
在填充该开口之前,以等离子体工艺处理该籽晶层;
其中该等离子体工艺降低该籽晶层中的碳的比率。
42.如权利要求41所述的半导体装置的形成方法,其中该非共形的阻挡层的该多个上部的厚度小于该非共形的阻挡层的该多个下部的厚度。
43.如权利要求41所述的半导体装置的形成方法,还包括在形成该籽晶层的步骤之前,形成一插入层于该非共形的阻挡层上。
44.如权利要求43所述的半导体装置的形成方法,其中形成该插入层包括使用贵金属形成该插入层。
45.如权利要求41所述的半导体装置的形成方法,其中形成该第一阻挡层还包括:
形成该第一阻挡层的一第一子层沿着该开口的该多个侧壁和该底部,该第一子层包括一第一金属;以及
形成该第一阻挡层的该第二子层于该第一子层上,该第二子层包括该第一金属的氮化物。
46.如权利要求45所述的半导体装置的形成方法,其中该第二阻挡层的形成使用该第一金属的该氮化物。
47.如权利要求45所述的半导体装置的形成方法,其中形成该第一阻挡层还包括在形成该第一阻挡层的该第二子层的步骤之后且在形成该第二阻挡层的步骤之前,进行热退火工艺。
48.一种半导体装置的形成方法,包括:
形成一栅极结构于一基板上;
形成一源极/漏极区邻近该栅极结构;
形成至少一介电层于该栅极结构上和该源极/漏极区上;
形成一第一开口和一第二开口于该至少一介电层中,以分别暴露出该源极/漏极区和该栅极结构;
形成一非共形的导电阻挡层衬于该第一开口的多个第一侧壁和一第一底部,且衬于该第二开口的多个第二侧壁和一第二底部,其中远离该基板的该非共形的导电阻挡层的一上部比靠近该基板的该非共形的阻挡层的一下部薄,其中从该非共形的导电阻挡层的该上部至该非共形的该导电阻挡层的该下部存在阶跃变化;
形成一籽晶层于该非共形的导电阻挡层上;
在形成该籽晶层的步骤之后,以一导电材料填充该第一开口和该第二开口;以及
在填充该第一开口和该第二开口的步骤之前,降低该籽晶层中的碳的比率;
其中降低该籽晶层中的该碳的比率包括以等离子体工艺处理该籽晶层。
49.如权利要求48所述的半导体装置的形成方法,还包括在形成该非共形的导电阻挡层的步骤之后且在形成该籽晶层之前,形成一导电插入层于该非共形的导电阻挡层上。
50.如权利要求49所述的半导体装置的形成方法,其中该导电插入层的形成使用WN、TiN、Ru或Pt。
51.一种半导体装置,包括:
一栅极结构,位于一基板上;
多个源极/漏极区,位于该栅极结构的两侧上;
数层介电层,位于该栅极结构和该多个源极/漏极区上;以及
一第一接点插塞,延伸穿过该数层介电层且电耦接至该多个源极/漏极区的一第一源极/漏极区,该第一接点插塞包括:
一导电材料;
一籽晶层,位于该导电材料周围;以及
一非共形的阻挡层,位于该籽晶层周围,其中该非共形的阻挡层接触该数层介电层,其中该非共形的阻挡层具有远离该基板的一上部和靠近该第一源极/漏极区的一下部,该非共形的阻挡层的该上部和该下部具有不同厚度,且该非共形的阻挡层的该上部和该下部之间存在阶跃变化;
其中该第一接点插塞还包括一插入层位于该籽晶层和该非共形的阻挡层之间。
52.如权利要求51所述的半导体装置,其中该非共形的阻挡层的该上部的厚度小于该非共形的阻挡层的该下部的厚度。
53.如权利要求52所述的半导体装置,其中该籽晶层包括钴和碳,其中该籽晶层中的碳的原子百分比小于20at.%。
54.如权利要求51所述的半导体装置,其中该插入层包括贵金属。
CN201710730715.6A 2017-05-01 2017-08-23 半导体装置的形成方法 Active CN108807180B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/583,789 US10157785B2 (en) 2017-05-01 2017-05-01 Semiconductor device and method
US15/583,789 2017-05-01

Publications (2)

Publication Number Publication Date
CN108807180A CN108807180A (zh) 2018-11-13
CN108807180B true CN108807180B (zh) 2023-05-23

Family

ID=63895668

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710730715.6A Active CN108807180B (zh) 2017-05-01 2017-08-23 半导体装置的形成方法

Country Status (5)

Country Link
US (3) US10157785B2 (zh)
KR (1) KR102109899B1 (zh)
CN (1) CN108807180B (zh)
DE (1) DE102017127201A1 (zh)
TW (1) TWI743166B (zh)

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10269624B2 (en) * 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10629708B2 (en) 2017-11-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with barrier layer and method for forming the same
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10796995B2 (en) 2017-11-29 2020-10-06 Tohoku University Semiconductor devices including a first cobalt alloy in a first barrier layer and a second cobalt alloy in a second barrier layer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10672652B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient atomic layer deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
EP3621107A1 (en) * 2018-09-10 2020-03-11 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Component with dielectric layer for embedding in component carrier
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
KR20210022814A (ko) 2019-08-20 2021-03-04 삼성전자주식회사 반도체 소자
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) * 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112928061A (zh) * 2019-12-05 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11398385B2 (en) * 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11349005B2 (en) 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
US11380768B2 (en) * 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11515162B2 (en) * 2020-08-31 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220102522A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Low resistance and reduced reactivity approaches for fabricating contacts and the resulting structures
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11984356B2 (en) 2021-02-11 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230027567A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114657515A (zh) * 2022-05-24 2022-06-24 成都高真科技有限公司 一种用于dram蒸镀工艺的去除籽晶层杂质方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319728B1 (en) * 1998-06-05 2001-11-20 Applied Materials, Inc. Method for treating a deposited film for resistivity reduction
CN101308810A (zh) * 2007-05-14 2008-11-19 台湾积体电路制造股份有限公司 集成电路结构及其制作方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271136B1 (en) * 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR20040001538A (ko) * 2002-06-28 2004-01-07 주식회사 하이닉스반도체 반도체 소자의 자기정렬 콘택 형성방법
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
KR100599434B1 (ko) * 2003-10-20 2006-07-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7265038B2 (en) * 2003-11-25 2007-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a multi-layer seed layer for improved Cu ECP
US7387962B2 (en) * 2005-10-17 2008-06-17 Samsung Electronics Co., Ltd Physical vapor deposition methods for forming hydrogen-stuffed trench liners for copper-based metallization
US7276796B1 (en) * 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
US8119525B2 (en) * 2008-02-26 2012-02-21 Applied Materials, Inc. Process for selective growth of films during ECP plating
US8432038B2 (en) * 2009-06-12 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure and a process for forming the same
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8778801B2 (en) * 2012-09-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming seed layer structure
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8753975B1 (en) * 2013-02-01 2014-06-17 Globalfoundries Inc. Methods of forming conductive copper-based structures using a copper-based nitride seed layer without a barrier layer and the resulting device
US9685371B2 (en) * 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
US9679813B2 (en) * 2015-05-12 2017-06-13 United Microelectronics Corp. Semiconductor structure and process for forming plug including layer with pulled back sidewall part
KR102467848B1 (ko) * 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9496225B1 (en) * 2016-02-08 2016-11-15 International Business Machines Corporation Recessed metal liner contact with copper fill
US9691658B1 (en) * 2016-05-19 2017-06-27 Globalfoundries Inc. Contact fill in an integrated circuit
KR20180026995A (ko) * 2016-09-05 2018-03-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319728B1 (en) * 1998-06-05 2001-11-20 Applied Materials, Inc. Method for treating a deposited film for resistivity reduction
CN101308810A (zh) * 2007-05-14 2008-11-19 台湾积体电路制造股份有限公司 集成电路结构及其制作方法

Also Published As

Publication number Publication date
US20190109043A1 (en) 2019-04-11
US10867845B2 (en) 2020-12-15
TW201842571A (zh) 2018-12-01
US10157785B2 (en) 2018-12-18
TWI743166B (zh) 2021-10-21
CN108807180A (zh) 2018-11-13
US20180315647A1 (en) 2018-11-01
KR20180121826A (ko) 2018-11-09
US10497615B2 (en) 2019-12-03
DE102017127201A1 (de) 2018-11-08
US20200043781A1 (en) 2020-02-06
KR102109899B1 (ko) 2020-05-13

Similar Documents

Publication Publication Date Title
CN108807180B (zh) 半导体装置的形成方法
US11437484B2 (en) Gate structure and method of forming the same
CN110010470B (zh) 半导体器件及其形成方法
CN112530904A (zh) 接触结构及其形成方法
US10643902B2 (en) Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US11527447B2 (en) Fin field-effect transistor device and method
CN111261518B (zh) 鳍式场效应晶体管器件及其形成方法
US11742238B2 (en) Dielectric gap-filling process for semiconductor device
US11189727B2 (en) FinFET contacts and method forming same
TW202236392A (zh) 形成半導體裝置的方法
US11823955B2 (en) Multi-layered insulating film stack
TWI814212B (zh) 半導體裝置的製造方法
TW202236521A (zh) 半導體元件的形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant