CN108288648A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN108288648A
CN108288648A CN201810017186.XA CN201810017186A CN108288648A CN 108288648 A CN108288648 A CN 108288648A CN 201810017186 A CN201810017186 A CN 201810017186A CN 108288648 A CN108288648 A CN 108288648A
Authority
CN
China
Prior art keywords
side wall
layer
nitride
oxide
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810017186.XA
Other languages
English (en)
Other versions
CN108288648B (zh
Inventor
田炅烨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108288648A publication Critical patent/CN108288648A/zh
Application granted granted Critical
Publication of CN108288648B publication Critical patent/CN108288648B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/732Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Abstract

提供了一种用于制造半导体的方法。在衬底上形成第一氧化物层。在第一氧化物层上形成第一氮化物层。在第一氮化物层上形成第二氧化物层和第二氮化物层。在第二氮化物层上形成多晶硅层。在多晶硅层上形成第三氮化物层。在第三氮化物层上形成一个或多个第一图案。将所述一个或多个第一图案转印到多晶硅层,以形成一个或多个图案化的多晶硅层。通过将所述一个或多个图案化的多晶硅层用作第一掩模来去除第一氧化物层、第一氮化物层、第二氧化物层和第二氮化物层的一部分。

Description

半导体器件及其制造方法
相关申请的交叉引用
本申请根据35 U.S.C.§119要求于2017年1月10日向美国专利和商标局提交的美国临时专利申请No.62/444,544的优先权,其全部内容通过引用并入在此。
技术领域
本发明构思涉及一种半导体器件及其制造方法。
背景技术
在使用金属氧化物半导体(MOS)技术制造的集成电路中,场效应晶体管(FET)通常可以在开关模式下操作。例如,FET呈现高导电状态(导通状态)和高阻抗状态(截止状态)。例如,在其他配置中,FET可以包括底部源极/漏极区域、竖直沟道和顶部源极/漏极区域,以形成竖直结构,其可以被称为FET中的鳍。
竖直结构可以经过包括干法蚀刻或湿法蚀刻的多个处理步骤的处理。在蚀刻工艺中,可能消耗竖直结构的一部分。因此,竖直结构的高度可能是彼此不一致的。
发明内容
根据本发明构思的一个示例性实施例,一种用于制造半导体器件的方法包括:在衬底上形成第一氧化物层,并在第一氧化物层上形成第一氮化物层。所述方法还包括:在第一氮化物层上形成第二氧化物层,并在第二氧化物层上形成第二氮化物层。所述方法还包括:在第二氮化物层上形成多晶硅层,并在多晶硅层上形成第三氮化物层。所述方法还包括:在第三氮化物层上形成多个第一图案,并将多个第一图案转印到多晶硅层以形成多个多晶硅图案。所述方法还包括:使用多个多晶硅图案作为掩模去除第一氧化物层、第一氮化物层、第二氧化物层和第二氮化物层的一部分。
根据本发明构思的一个示例性实施例,一种用于制造半导体器件的方法包括形成从衬底延伸的沟道。所述方法还包括:在沟道上顺序地形成第一氧化物层、第一氮化物层、第二氧化物层和第二氮化物层以形成竖直结构。所述方法还包括:在竖直结构的外表面上形成氧化物侧墙,并在氧化物侧墙上形成第一氮化物侧墙。所述方法还包括:在竖直结构的外表面上形成第二氮化物侧墙。
根据本发明构思的一个示例性实施例,一种用于制造半导体器件的方法包括:形成第一多个竖直结构和第二多个竖直结构。每个竖直结构包括竖直沟道、第一氧化物、第一氮化物、第二氧化物和第二氮化物。所述方法还包括:在每个竖直结构的外表面上形成第一氧化物层并且在第一氧化物层上形成第一氮化物层。所述方法还包括:使用第一掩模覆盖第一多个竖直结构,以暴露第二多个竖直结构。所述方法还包括:去除第二多个竖直结构之间的衬底的一部分。所述方法还包括:去除第一掩模。所述方法还包括:在第一多个竖直结构和第二多个竖直结构的外表面上形成第二氮化物层。所述方法还包括:使用第二掩模覆盖第二多个竖直结构,以暴露第一多个竖直结构。所述方法还包括:去除第一多个竖直结构之间的衬底的一部分。所述方法还包括:去除第二掩模。第一多个竖直结构与第二多个竖直结构不同。
根据本发明构思的一个示例性实施例,半导体器件包括多个底部外延层以及分别形成在多个底部外延层上的多个竖直沟道。所述半导体器件还包括分别形成在多个竖直沟道上的多个顶部外延层。所述半导体器件还包括形成在相邻竖直沟道之间的绝缘层。所述多个顶部外延层被绝缘层分离。所述绝缘层的上表面高于所述竖直沟道的上表面。
根据本发明构思的一个示例性实施例,一种用于制造半导体器件的方法包括:在衬底上形成第一氧化物层,并且在第一氧化物层上形成第一氮化物层。所述方法还包括:在第一氮化物层上形成第二氧化物层,并在第二氧化物层上形成第二氮化物层。所述方法还包括:在第二氮化物层上形成多晶硅层,并在多晶硅层上形成第一牺牲层。所述方法还包括:在第一牺牲层上形成第二牺牲层,并将多个图案转印到第一牺牲层和第二牺牲层以及多晶硅层,以形成多个多晶硅图案。所述方法还包括:使用多个多晶硅图案作为掩模去除第一氧化物层、第一氮化物层、第二氧化物层和第二氮化物层的一部分。
附图说明
通过参考附图详细描述本发明构思的示例性实施例,本发明构思将变得更显而易见,在附图中:
图1示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成多个层的方法的截面图;
图2示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成侧墙层的方法的截面图;
图3示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成多个侧墙的方法的截面图;
图4示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间去除第一牺牲图案的方法的截面图;
图5示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间蚀刻第三氮化硅层的方法的截面图;
图6示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间蚀刻多晶硅层的方法的截面图;
图7至图8示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间使用多晶硅作为掩模来蚀刻多个层的方法的截面图;
图9示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间蚀刻衬底的一部分的方法的截面图;
图10示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成多个层的方法的截面图;
图11示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间图案化第一牺牲层和第二牺牲层的方法的截面图;
图12示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成多个侧墙的方法的截面图;
图13示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间去除第一牺牲图案的方法的截面图;
图14至图16示出了说明根据本发明构思的一个或多个示例性实施例的在制造半导体器件期间形成竖直结构的方法的截面图;
图17示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成氧化物侧墙的方法的截面图;
图18示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成第一氮化物侧墙的方法的截面图;
图19至图21示出了说明根据本发明构思的一个或多个示例性实施例的在制造半导体器件期间形成底部外延层的方法的截面图;
图22示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成第二氮化物侧墙的方法的截面图;
图23至图25示出了说明根据本发明构思的一个或多个示例性实施例的在制造半导体器件期间形成底部外延层的方法的截面图;
图26示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间氧化物侧墙和氮化物侧墙的尺寸变化的截面图;
图27示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间去除氧化物侧墙和氮化物侧墙的方法的截面图;
图28示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成绝缘层的方法的截面图;
图29示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成栅极介质层和栅极金属的方法的截面图;
图30至图31示出了说明根据本发明构思的一个或多个示例性实施例的在制造半导体器件期间对栅极介质层和栅极金属进行图案化的方法的截面图;
图32示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成绝缘侧墙的方法的截面图;
图33示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成绝缘层的方法的截面图;
图34示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间执行化学机械平面化(CMP)的方法的截面图;
图35示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间形成绝缘层的方法的截面图;
图36示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间去除竖直结构的一部分的方法的截面图;
图37示出了说明根据本发明构思的一个示例性实施例的在制造半导体器件期间生长顶部外延层的方法的截面图。
具体实施方式
现在将在下文参考其中示出了本发明的示例性实施例的附图来更全面地描述本发明构思。然而,本发明构思可以按不同形式来体现,并且不应当被解释为限于本文阐述的示例实施例。相反,提供这些实施例使得本公开将全面和完整,并且将本发明构思的范围充分传达给本领域技术人员。贯穿说明书,相同的附图标记表示相同的组件。在附图中,为了清楚起见,可以放大层和区域的厚度。
将理解的是,当一元件或层被称为“连接到”或“耦接到”另一元件或层时,其可以直接连接到或耦接到该另一元件或层,或者可以存在中间元件或层。贯穿附图,类似标记表示类似的元件。
还将理解,当层被称为在另一层或衬底“上”时,其可以直接在另一层或衬底上,或者还可以存在中间层。
除非本文另外指出或与上下文明确矛盾,否则应将在描述本发明的上下文中使用的术语“一”、“一个”和“该”理解为涵盖单数和复数形式。
在下文中,将参考图1到图37来描述根据本发明构思的示例性实施例的产生半导体器件的方法。
参考图1,根据本发明构思的一个示例性实施例,制造半导体器件可以包括在衬底100上形成多个层。衬底100可以包括例如硅(Si)、锗(Ge)、硅锗(SiGe)、III-V化合物半导体等。在一个实施例中,半导体器件可以包括包含多个p-MOS晶体管的p-MOS(p型金属氧化物半导体)区域以及包含多个n-MOS晶体管的n-MOS(n型金属氧化物半导体)区域。例如,每个晶体管可以包括栅极、漏极、源极以及位于漏极和源极之间的沟道。在一个实施例中,晶体管可以包括底部源极/漏极、顶部源极/漏极以及在底部源极/漏极与顶部源极/漏极之间形成的竖直沟道。
可以通过例如原位蒸汽生成(ISSG)工艺在衬底100上形成第一氧化物层102。在一个实施例中,可以不在衬底100上形成第一氧化物层102。可以通过例如化学气相沉积(CVD)在第一氧化物层102上形成第一氮化硅层104。可以在第一氮化硅层104上形成第二氧化物层106。可以在第二氧化物层106上形成第二氮化硅层108,且可以在第二氮化硅层108上形成多晶硅层110。可以在多晶硅层110上形成第三氮化硅层112。
在一个实施例中,第一氧化物层102的厚度可以约为1.4nm。在一个实施例中,第二氧化物层106的厚度的范围可以从约12nm至约20nm。在一个实施例中,第一氮化硅层104和第二氮化硅层108的厚度可以约为50nm。在一个实施例中,第三氮化硅层112的厚度可以约为15nm。
可以在第三氮化硅层112上形成多个第一牺牲图案114。在一个实施例中,多个第一牺牲图案114可以包括多晶硅、非晶碳层(ACL)、旋涂硬掩模(SOH)、光刻胶或其组合。可以通过使用光刻工艺来形成第一牺牲图案114。
参考图2,根据本发明构思的一个示例性实施例,可以在第一牺牲图案114上形成侧墙层120。在一个实施例中,侧墙层120可以形成为基本上共形覆盖衬底100上的多个第一牺牲图案114的整个外表面。侧墙层120可以包括相对于第一牺牲图案114具有选择性的材料。在一个实施例中,侧墙层120可以包括例如氧化硅,且可以通过例如CVD或原子层沉积(ALD)形成。可以通过控制侧墙层120的沉积时间来控制侧墙层120的厚度。
参考图3,根据本发明构思的一个示例性实施例,可以通过蚀刻侧墙层120,去除侧墙层120的一部分,以在第一牺牲图案114的侧壁上形成多个侧墙124。在一个实施例中,可以通过干法蚀刻来蚀刻侧墙层120,直到暴露第一牺牲图案114的上部为止。具有预定厚度的侧墙124可以在后续工艺中在形成预定图案时用作掩模。
参考图4,根据本发明构思的一个示例性实施例,可以通过蚀刻工艺去除第一牺牲图案114,以使侧墙124布置为在侧墙124之间具有预定间隔。在一个实施例中,可以相对于侧墙124通过干法蚀刻或反应离子蚀刻来选择性地蚀刻第一牺牲图案114。在一个实施例中,当去除第一牺牲图案114时,位于第一牺牲图案114下方的第三氮化硅层112也可以被蚀刻,以便使第三氮化硅层112相较于第三氮化硅层112的初始厚度具有减小的厚度。在一个实施例中,在蚀刻第一牺牲图案114期间,第三氮化硅层112可以保护下方的多晶硅层110在蚀刻工艺期间不被损坏。例如,相邻侧墙124之间的距离可以与第一牺牲图案114的宽度相同。
图5和图6示出了根据本发明构思的一个示例性实施例的使用侧墙124作为掩模来蚀刻第三氮化硅层112和多晶硅层110。如图5所示,可以使用侧墙124作为掩模来蚀刻第三氮化硅层112。例如,侧墙124的图案可以被转印到第三氮化硅层112,以具有与侧墙124相同的图案。如图6所示,可以在使用侧墙124和多晶硅层110作为掩模来蚀刻第三氮化硅层之后蚀刻多晶硅层110。在蚀刻多晶硅层110期间,侧墙124的图案可以被转印到多晶硅层110。例如,侧墙124的宽度可以基本上与第三氮化硅层112和多晶硅层110的宽度相同。
参考图7,根据本发明构思的一个示例性实施例,可以通过例如干法蚀刻来去除侧墙124和第三氮化硅层112,以暴露多晶硅层110。在后续步骤中,在对下层进行图案化时可以使用多晶硅层110作为掩模。在一个实施例中,可以在蚀刻第二氮化硅层108和第二氧化物层106时使用多晶硅层110作为掩模。在蚀刻期间,第二氮化硅层108还可以保护下层(例如,第二氧化物层106、第一氮化硅层104和第一氧化物层102)在后续蚀刻工艺期间不被损坏。在另一个示例中,可以形成第二氧化物层106以保护下方的第一氮化硅层104免受后续干法蚀刻工艺或湿法蚀刻工艺的影响。
图8示出了根据本发明构思的一个示例性实施例的使用多晶硅层110作为掩模来蚀刻第一氮化硅层104。在使用多晶硅层110作为掩模来蚀刻第二氮化硅层108、第二氧化物层106、第一氮化硅层104和第一氧化物层102之后,可以通过例如干法蚀刻或湿法蚀刻来蚀刻多晶硅层110。
图9示出了根据本发明构思的一个示例性实施例的使用第二氮化硅层108作为掩模来蚀刻衬底100。在另一实施例中,在蚀刻衬底100的一部分时,掩模可以包括第二氮化硅层108、第二氧化物层106、第一氮化硅层104和第一氧化物层102。在一个实施例中,可以将硅衬底100向下蚀刻预定深度以形成竖直结构130的沟道132。在一个实施例中,竖直结构130可以包括第二氮化硅层108、第二氧化物层106、第一氮化硅层104、第一氧化物层102和沟道132。在另一实施例中,竖直结构130可以包括第二氮化硅层108、第二氧化物层106、第一氮化硅层104和沟道132。在一个实施例中,当对衬底100进行蚀刻时,第二氮化硅层108可以具有如图9所示的有棱角(angular shaped)的上部。在另一实施例中,第二氮化硅层108的上部可以是圆形。
参照图10至图16,根据本发明构思的一个或多个示例性实施例,示出了使用多晶硅层110来形成竖直结构130的方法。除了为完整理解实施例所必需的,这里将不再详细描述如图10至图16所示的用于制造竖直结构130的方法,其中所述图10-16所示的方法共享如图1至图9所示的制造竖直结构130的许多步骤。
参考图10,可以在衬底100上形成第一氧化物层102、第一氮化硅层104、第二氧化物层106、第二氮化硅层108和多晶硅层110。可以在多晶硅层110上形成第二牺牲层134。第二牺牲层134可以包括例如旋涂硬掩模(SOH)或非晶碳层(ACL)。可以在第二牺牲层134上形成第三牺牲层140。在一个实施例中,第三牺牲层140可以包括氮氧化硅(Si0N),且可以通过例如CVD、ALD或旋涂来形成。可以使用光刻工艺在第三牺牲层140上形成多个光刻胶图案136。
图11示出了使用光刻胶图案136作为掩模通过例如干法蚀刻来对第二牺牲层134和第三牺牲层140进行图案化。在第二牺牲层134和第三牺牲层140被图案化之后,可以去除光刻胶图案136以暴露第三牺牲层140。图12示出了可以在第二牺牲层134的侧壁上形成多个侧墙124。虽然未示出,但是侧墙层可以共形地形成在第二牺牲层134上,且侧墙层可以被蚀刻以形成如图12所示的多个侧墙124。在形成侧墙124之前,可以去除第三牺牲层140。图13示出了可以通过例如干法蚀刻去除第二牺牲层134并且可以将侧墙124布置在多晶硅层110上以形成一个或多个图案。在一个实施例中,侧墙124之间的距离可以与第二牺牲层134的宽度相同。侧墙124的宽度可以由侧墙层的厚度确定。
图14至图16示出了根据本发明构思的一个或多个示例性实施例制造竖直结构130。如图14所示,可以使用侧墙124作为掩模通过例如干法蚀刻来图案化多晶硅层110和第二氮化硅层108。参考图15,可以使用侧墙124和多晶硅层110作为掩模来去除第二氧化物层106和第一氮化硅层104的一部分。在一个实施例中,当对第二氧化物层106和第一氮化硅层104进行图案化时,可以基本上去除侧墙124。在一个实施例中,当对第二氧化物层106和第一氮化硅层104进行图案化时,可以去除多晶硅层110的上部。
图16示出了可以使用第二氮化硅层108作为掩模通过例如干法蚀刻来蚀刻衬底100。在另一实施例中,可以使用例如第二氮化硅层108、第二氧化物层106、第一氮化硅层104和第一氧化物层102作为掩模来蚀刻衬底100。衬底100可以被蚀刻预定时间,以形成用以在衬底100中限定竖直沟道132的一个或多个沟槽。在对衬底100进行蚀刻之后,可以形成包括第二氮化硅层108、第二氧化物层106、第一氮化硅层104、第一氧化物层102以及竖直沟道132的竖直结构130。在一个示例中,第二氮化硅层108的上部可以形成为具有圆形的上部。
参考图17,根据本发明构思的一个示例性实施例,氧化物侧墙150可以共形地形成在竖直结构130的外表面和衬底100上以形成连续层。例如,氧化物侧墙150可以包括氧化硅,并且可以通过CVD或ALD来形成,以在竖直结构130上形成保护层。
参考图18,根据本发明构思的一个示例性实施例,可以共形地形成第一氮化物侧墙152。可以使用例如CVD或ALD在氧化物侧墙150上形成第一氮化物侧墙152。第一氮化物侧墙152可以包括例如氮化硅。
参考图19至图21,根据本发明构思的一个或多个示例性实施例,可以针对半导体器件的p-MOS区域或n-MOS区域之一选择性地形成多个底部外延层。例如,如图19所示,半导体器件的p-MOS区域162可以被开放以暴露第一多个竖直结构130,且半导体器件10的n-MOS区域164可以被绝缘层158覆盖,以防止形成第二多个竖直结构130的底部外延层。在一个实施例中,绝缘层158可以包括例如有机平面化层(OPL)、非晶碳层(ACL)或旋涂硬掩模(SOH)。绝缘层158可以形成在竖直结构130上并且被选择性地图案化以暴露p-MOS区域162。
参考图20,可以通过去除衬底100的一部分,来在p-MOS区域162中的竖直结构130的任一侧在衬底100中形成一个或多个凹部168。在一个实施例中,可以通过使用覆盖有氧化物侧墙150和第一氮化物侧墙152的竖直结构130作为掩模利用例如干法蚀刻来执行蚀刻工艺以在衬底100中形成凹部168。在蚀刻工艺之后,可以形成具有预定深度的凹部168。
当在衬底100中形成凹部168时,氧化物侧墙150和第一氮化物侧墙152也可能受到蚀刻工艺的影响。在一个实施例中,第一氮化物侧墙152和/或氧化物侧墙150的上部可以至少部分地被来自干法蚀刻工艺的离子或其他反应气体物质(reactive gas species)蚀刻。因此,在形成凹部168之后,在竖直结构130的上部附近的第一氮化物侧墙152和/或氧化物侧墙150的组合厚度可以变得比所沉积的形成在竖直结构130上的第一氮化物侧墙152和/或氧化物侧墙150的组合厚度更薄。在另一实施例中,可以基本上去除第一氮化物侧墙152以暴露氧化物侧墙150。在又一实施例中,可以基本上蚀刻第一氮化物侧墙152和氧化物侧墙150,以暴露第二氮化硅层108,如图20所示。在任何情况下,第一氮化物侧墙152和/或氧化物侧墙150的组合厚度可以比所沉积的第一氮化物侧墙152和/或氧化物侧墙150的组合厚度更薄。
当形成凹部168时,来自干法蚀刻的离子或其他反应气体物质可以去除在竖直结构130的侧壁处形成的第一氮化物侧墙152的一部分。因此,竖直结构130的侧壁处的第一氮化物侧墙152的厚度可以变得比竖直结构130的侧壁处沉积的第一氮化物侧墙152的初始厚度更薄。
在n-MOS区域164中,由于n-MOS区域164被绝缘层158覆盖,所以氧化物侧墙150和第一氮化物侧墙152都不会受到凹部形成工艺的影响,其中所述绝缘层158可以将n-MOS区域164与来自蚀刻工艺的离子和其他反应气体物质相隔离。
参考图21,外延层154可以形成在p-MOS区域162中的凹部168内。在一个实施例中,外延层154可以是半导体材料,且可以包括例如硅(Si)、锗(Ge)、硅锗(SiGe)等。然而,本发明构思不限于此。在一个实施例中,可以执行离子注入以在外延层154中提供n型或p型杂质,用以改变填充在凹部168中的外延层154的电学性质。例如,对于p-MOS区域162,外延层154可以包括p型掺杂剂,例如硼(B)或铝(Al)。然而,本发明构思不限于此,且可以使用其他p型掺杂剂材料。当执行离子注入时,在制造半导体器件的较晚阶段,可以在预定温度下单独地执行热处理,以控制外延层154中的杂质的扩散和分布。
图21还示出了可以在p-MOS区域162中形成外延层154之后通过例如干法蚀刻去除覆盖n-MOS区域164的绝缘层158。如上所述,当在p-MOS区域162中形成底部外延层154之后,p-MOS区域162中的竖直结构130上的侧墙150、152的形状和厚度可以与n-MOS区域164中的竖直结构130上的侧墙150、152的形状和厚度不同。
参考图22,根据本发明构思的一个示例性实施例,可以共形地形成第二氮化物侧墙170。第二氮化物侧墙170可以形成在竖直结构130的外表面上,以保护氧化物侧墙150或第一氮化物侧墙152在后续蚀刻工艺中不被进一步去除。在一个实施例中,可以基于在例如p-MOS区域162中的凹部形成工艺中的第一氮化物侧墙152和/或氧化物侧墙150的损耗,来确定第二氮化物侧墙170的厚度。当在p-MOS区域162和n-MOS区域164中的竖直结构130上形成第二氮化物侧墙170之后,由于保护n-MOS区域164免受p-MOS区域162内的凹部形成工艺的影响,与针对p-MOS区域162相比,针对n-MOS区域164在竖直结构130上部的第一氮化物侧墙152和第二氮化物侧墙170以及氧化物侧墙150的组合厚度更厚。
参考图23至图25,根据本发明构思的一个或多个示例性实施例,可以针对半导体器件的p-MOS区域162或n-MOS区域164中的另一个选择性地形成多个底部外延层。例如,可以选择性地在p-MOS区域162上形成绝缘层158,如例如图23所示。可以使用具有氧化物侧墙150、第一氮化物侧墙152和第二氮化物侧墙170的竖直结构130作为掩模通过例如干法蚀刻工艺,来蚀刻衬底100的一部分,以形成具有预定深度的凹部172,如例如图24所示。
参考图25,可以在n-MOS区域164中的凹部172内形成底部外延层156。在一个实施例中,底部外延层156可以是半导体材料。例如,底部外延层156可以包括硅(Si)、锗(Ge)、硅锗(SiGe)等。在一个实施例中,可以执行离子注入以在外延层156中供应n型或p型杂质,用以改变填充有外延层156的凹部172的电学性质。例如,对于n-MOS区域164,外延层156可以包括n型掺杂剂,例如磷(P)或砷(As)。然而,本发明构思不限于此,且可以使用其他n型掺杂剂材料。当执行离子注入时,在制造半导体器件的较晚阶段,可以在预定温度下单独地执行热处理,以控制外延层156中杂质的扩散和分布。
当在n-MOS区域164中形成凹部172时,可以向下蚀刻形成在n-MOS区域164中的第二氮化硅层108上的第一氮化物侧墙152和第二氮化物侧墙170以及氧化物侧墙150的至少一部分。因此,在形成凹部172之后,第二氮化硅层108上的侧墙150、152、170的组合厚度可以变得更薄。
参考图26,根据本发明构思的一个示例性实施例示意性地示出了形成在第二氮化硅层108上的层的厚度变化。要注意的是,图26仅是示意性的,并不是按比例绘制的。图26(a)至图26(c)可以示出了当在p-MOS区域162中形成凹部168时p-MOS区域162中的侧墙150、152、170的厚度变化。在一个实施例中,图26(a)可以示出了在凹部形成之前可以在第二氮化硅层108上形成具有组合厚度A的氧化物侧墙150和第一氮化物侧墙152。图26(b)可以示出了由于与例如干法蚀刻中的离子和其他反应气体物质的反应,可以将层的组合厚度减少D。虚线可以表示在开始凹部形成之前的第一氮化物侧墙152的轮廓。在一个示例中,D可以小于或等于A。然而,本发明构思不限于此。在另一个示例中,取决于形成凹部168的工艺条件,D可以大于A,且第二氮化硅层108的上部可以被蚀刻。图26(c)可以示出了可以在完成凹部形成之后在第一氮化物侧墙152上形成厚度为D的第二氮化物侧墙170,以补偿在较晚阶段中的蚀刻工艺中氧化物侧墙150和第一氮化物侧墙152的损耗。在另一实施例中,图26(c)中的第二氮化物侧墙170的厚度D可以不同于图26(b)中的厚度损耗D。另一方面,通过形成第二氮化物侧墙170,可以防止或最小化第二氮化硅层108的损耗。
图26(d)至图26(f)可以示出了当在n-MOS区域164中形成凹部172时n-MOS区域164中的厚度变化。在一个实施例中,图26(d)可以示出了在凹部形成之前可以在第二氮化硅层108上形成具有组合厚度A的氧化物侧墙150和第一氮化物侧墙152。图26(e)可以示出了在开始凹部形成之前,可以在第一氮化物侧墙152上附加地形成厚度为D的第二氮化物侧墙170。在第二氮化硅层108上形成的氧化物侧墙150以及第一氮化物侧墙152和第二氮化物侧墙170的组合厚度可以是A+D。可以形成第二氮化物侧墙170以补偿后续凹部形成工艺中氧化物侧墙150和第一氮化物侧墙152的预期损耗。图26(f)可以示出了例如厚度为D的第二氮化物侧墙170可以在形成凹部172期间被例如离子和其他反应气体物质去除。在另一示例中,可以在形成凹部172时去除第二氮化硅层108上的整个侧墙150、152、170,且可以去除第二氮化硅层108的一部分。
由于设置到竖直结构130的外表面的第二氮化物侧墙170,所以可以保护竖直结构130在后续蚀刻工艺中不被去除。例如,可以最小化或防止对第二氮化硅层108的损坏。不管怎样,仍可以保护位于第二氮化硅层108下方的第二氧化物层106免受蚀刻工艺的影响。此外,由于p-MOS区域162和n-MOS区域164中的竖直结构130的高度可以基本上彼此相同,所以p-MOS区域162和n-MOS区域164中的凹部168、172的加工条件也可以基本上彼此相同。因此,可以在p-MOS区域162和n-MOS区域164二者中形成凹部168、172时实现工艺均匀性。
参考图27,根据本发明构思的一个示例性实施例,其余氧化物和氮化物侧墙150、152、170可以被剥离,以暴露第二氮化硅层108。参考图28,可以在竖直结构130的外表面和衬底100的上表面上共形地形成绝缘层182。如图28所示,可以通过例如干法蚀刻进一步去除绝缘层182的一部分,以基本上覆盖底部外延层154、156。
参考图29,根据本发明构思的一个示例性实施例,可以形成栅极介质层180以基本上覆盖竖直结构130的整个外表面。例如,可以在底部外延层154、156上形成栅极介质层180。栅极介质层180可以包括例如氧化硅(SiO2)、氮化硅(Si3N4)、氮氧化硅(SiON)、氧化锆(ZrO2)、氮氧化锆(ZrON)、氧化铪锆(HfZiO)、氧化铝(Al2O3)、五氧化二钽(Ta2O5)或其化合物。在另一示例中,栅极介质层180可以包括高K介质材料,例如,钛酸钡、钛酸钡锶、氧化钛或其化合物。
在一个实施例中,可以在底部外延层154、156上直接形成栅极介质层180。在另一实施例中,可以在底部外延层154、156上形成底部氧化物层(未示出),并且在底部氧化物层上形成栅极介质层180。
图29还示出了可以在栅极介质层180上形成栅极金属184。可以通过CVD或ALD在栅极介质层180上形成栅极金属184。栅极金属184可以包括例如钨(W)、钴(Co)、铜(Cu)、钽(Ta)、钛(Ti)、钌(Ru)、铝(Al)、金属碳化物或金属氮化物(例如氮化钛(TiN))。
参考图30,根据本发明构思的一个示例性实施例,可以在相邻竖直结构130之间的空间190中选择性地填充OPL 188。在一个实施例中,可以在栅极金属184上形成OPL 188。可以通过光刻工艺选择性地去除OPL 188的一部分,以暴露栅极金属184的上部。例如,OPL188可以在相邻的竖直结构130之间包括凸起形状。
参考图31,根据本发明构思的一个示例性实施例,可以去除栅极金属184的一部分。可以通过例如湿法蚀刻工艺或干法蚀刻工艺来去除栅极金属184的一部分。例如,蚀刻条件可以使得栅极金属184的下部不被OPL 188图案去除,并且栅极金属184的上部通过蚀刻工艺被去除。在完成栅极金属184的蚀刻之后,可以去除OPL 188图案。
参考图32,根据本发明构思的一个示例性实施例,可以共形地形成绝缘侧墙194以覆盖衬底100的整个表面。绝缘侧墙194可以包括例如氮化硅(Si3N4),且可以使用例如CVD或ALD来形成。参考图33,根据本发明构思的一个示例性实施例,可以形成绝缘层196以填充竖直结构130之间的空间。可以使用例如CVD来填充绝缘层196。绝缘层196可以包括例如氧化硅。
参考图34,根据本发明构思的一个示例性实施例,可以通过化学机械平坦化(CMP)工艺来抛光绝缘层196的上部,以具有平坦且光滑的表面。可以执行CMP工艺,直到达到绝缘侧墙194为止。在一个实施例中,CMP工艺可能基本上不会攻击竖直结构130的第二氮化硅层108。可以使用例如CVD或ALD在绝缘层196的上表面上形成阻止层198。阻止层198可以包括例如氮化硅。在后续蚀刻工艺中,阻止层198可以阻挡对竖直结构130的蚀刻。
参考图35,根据本发明构思的一个示例性实施例,可以在阻止层198上形成绝缘层200。绝缘层200可以包括例如氧化硅,且可以与绝缘层196基本相同。可以在绝缘层200上形成OPL 188,以形成图案并使用OPL 188作为掩模选择性地去除绝缘层200的一部分。在一个实施例中,可以使用其他光敏聚合物来代替OPL 188。
参考图36,根据本发明构思的一个示例性实施例,可以去除阻止层198的一部分。可以通过例如干法蚀刻去除阻止层198的这部分。在一个实施例中,可以通过干法蚀刻工艺选择性地去除与p-MOS区域相对应的阻止层198的这部分,以暴露绝缘层196。
可以通过例如干法蚀刻来去除绝缘侧墙194、第二氮化硅层108、第二氧化物层106、第一氮化硅层104和第一氧化物层102,以形成用于生长顶部外延层204的凹部202。顶部外延层204可以包括例如顶部外延层。如图36所示,绝缘层196可以将相邻的竖直结构130彼此分开。
参考图37,根据本发明构思的一个示例性实施例,可以使用沟道132的上表面作为用于外延层生长的模具,来在沟道132上形成顶部外延层204。可以通过使用例如CVD或分子束外延(MBE)来形成顶部外延层204,并且顶部外延层204可以包括例如硅(Si)、锗(Ge)、硅锗(SiGe)等。如图所示,顶部外延层204的生长可以由绝缘层196来控制。例如,由于存在绝缘层196,相邻的顶部外延层204可以不彼此接触。
虽然已经参照本发明构思的示例性实施例具体示出并描述了本发明构思,但是本领域普通技术人员应该理解,在不背离本公开的精神和范围的情况下,可以在形式和细节上对其进行多种改变。

Claims (20)

1.一种用于制造半导体器件的方法,所述方法包括:
在衬底上形成第一氧化物层;
在第一氧化物层上形成第一氮化物层;
在第一氮化物层上形成第二氧化物层;
在第二氧化物层上形成第二氮化物层;
在第二氮化物层上形成多晶硅层;
在多晶硅层上形成第三氮化物层;
在第三氮化物层上形成多个第一图案;
将多个第一图案转印到多晶硅层以形成多个多晶硅图案;以及
使用多个多晶硅图案作为掩模去除第一氧化物层、第一氮化物层、第二氧化物层和第二氮化物层的一部分。
2.根据权利要求1所述的方法,其中,形成多个第一图案包括:在第一牺牲图案的侧壁上形成多个侧墙并去除第一牺牲图案。
3.根据权利要求2所述的方法,其中,第一图案之间的距离与第一牺牲图案的宽度相同。
4.根据权利要求1所述的方法,还包括:
将多个第一图案转印到第二氮化物层以形成多个第二氮化物图案;以及
使用多个第二氮化物图案作为掩模来去除衬底的一部分。
5.根据权利要求4所述的方法,其中,将多个第一图案转印到第二氮化物层包括:蚀刻第二氮化物层的一部分。
6.根据权利要求1所述的方法,其中,去除第一氧化物层、第一氮化物层、第二氧化物层和第二氮化物层的所述部分包括:使用多个多晶硅图案作为掩模在竖直方向上去除所述部分。
7.根据权利要求2所述的方法,其中,所述第一牺牲图案包括多晶硅、非晶碳、旋涂硬掩模、光刻胶或其组合之一。
8.一种用于制造半导体器件的方法,所述方法包括:
形成从衬底延伸的沟道;
在沟道上顺序地形成第一氧化物层、第一氮化物层、第二氧化物层和第二氮化物层以形成竖直结构;
在竖直结构的外表面上形成氧化物侧墙;
在氧化物侧墙上形成第一氮化物侧墙;以及
在竖直结构的外表面上形成第二氮化物侧墙。
9.根据权利要求8所述的方法,其中,形成第二氮化物侧墙包括:在竖直结构的第二氮化物层上形成第二氮化物侧墙。
10.根据权利要求8所述的方法,还包括:
当在氧化物侧墙上形成第一氮化物侧墙之后,去除氧化物侧墙或第一氮化物侧墙的至少一部分。
11.根据权利要求10所述的方法,其中,去除氧化物侧墙或第一氮化物侧墙的所述至少一部分是当在衬底中形成一个或多个凹部时发生的。
12.根据权利要求10所述的方法,其中,去除氧化物侧墙或第一氮化物侧墙的所述至少一部分包括:使用干法蚀刻或湿法蚀刻之一来蚀刻氧化物侧墙或第一氮化物侧墙的所述至少一部分。
13.根据权利要求8所述的方法,还包括:
当在竖直结构上形成第二氮化物侧墙之后,去除氧化物侧墙、第一氮化物侧墙或第二氮化物侧墙的至少一部分。
14.根据权利要求13所述的方法,其中,去除氧化物侧墙、第一氮化物侧墙或第二氮化物侧墙的所述至少一部分是当在衬底中形成一个或多个凹部时发生的。
15.根据权利要求13所述的方法,其中,去除氧化物侧墙、第一氮化物侧墙或第二氮化物侧墙的所述至少一部分包括:使用干法蚀刻或湿法蚀刻之一来蚀刻氧化物侧墙、第一氮化物侧墙或第二氮化物侧墙的所述至少一部分。
16.一种用于制造包括第一区域和第二区域的半导体器件的方法,所述方法包括:
形成第一多个竖直结构和第二多个竖直结构,每个竖直结构包括竖直沟道、第一氧化物层、第一氮化物层、第二氧化物层和第二氮化物层;
在每个竖直结构的外表面上形成氧化物侧墙;
在氧化物侧墙上形成第一氮化物侧墙;
使用第一掩模覆盖第一多个竖直结构,以暴露第二多个竖直结构;
去除第二多个竖直结构之间的衬底的一部分;
去除第一掩模;
在第一多个竖直结构和第二多个竖直结构的外表面上形成第二氮化物侧墙;
使用第二掩模覆盖第二多个竖直结构,以暴露第一多个竖直结构;
去除第一多个竖直结构之间的衬底的一部分;以及
去除第二掩模;
其中第一多个竖直结构在第一区域中,并且第二多个竖直结构在第二区域中。
17.根据权利要求16所述的方法,其中,第一区域包括n-MOS区域或p-MOS区域之一,并且第二区域包括n-MOS区域或p-MOS区域中的另一个。
18.根据权利要求16所述的方法,其中,去除衬底的所述部分包括:在衬底中形成一个或多个凹部。
19.根据权利要求16所述的方法,其中,去除第一掩模和第二掩模包括:通过光刻工艺去除第一掩模和第二掩模。
20.根据权利要求16所述的方法,其中,第一掩模和第二掩模包括有机平坦化层OPL。
CN201810017186.XA 2017-01-10 2018-01-08 半导体器件及其制造方法 Active CN108288648B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762444544P 2017-01-10 2017-01-10
US62/444,544 2017-01-10
US15/843,694 2017-12-15
US15/843,694 US10840150B2 (en) 2017-01-10 2017-12-15 Semiconductor device and method for manufacturing the same

Publications (2)

Publication Number Publication Date
CN108288648A true CN108288648A (zh) 2018-07-17
CN108288648B CN108288648B (zh) 2023-05-26

Family

ID=62782369

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810017186.XA Active CN108288648B (zh) 2017-01-10 2018-01-08 半导体器件及其制造方法

Country Status (3)

Country Link
US (2) US10840150B2 (zh)
KR (1) KR102488070B1 (zh)
CN (1) CN108288648B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10840150B2 (en) 2017-01-10 2020-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060099826A (ko) * 2005-03-15 2006-09-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20080090418A1 (en) * 2006-10-17 2008-04-17 Jeon Kyung-Yub Method for forming fine patterns of a semiconductor device using double patterning
US20080259687A1 (en) * 2007-04-19 2008-10-23 Michael Specht Integrated Circuits and Methods of Manufacturing Thereof
CN101345190A (zh) * 2007-07-10 2009-01-14 旺宏电子股份有限公司 图案的形成方法
CN101449367A (zh) * 2006-05-19 2009-06-03 飞思卡尔半导体公司 半导体结构图案形成
CN101740523A (zh) * 2008-11-06 2010-06-16 东部高科股份有限公司 制造闪存装置的方法
CN102082117A (zh) * 2009-11-30 2011-06-01 海力士半导体有限公司 通过自对准镶嵌工艺在半导体器件中形成侧接触的方法
US20130230953A1 (en) * 2012-03-02 2013-09-05 Gaku Sudo Method for manufacturing semiconductor device
CN104124210A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN106169495A (zh) * 2015-05-22 2016-11-30 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6352909B1 (en) 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR101515907B1 (ko) 2008-10-23 2015-04-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR101540083B1 (ko) 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR101566405B1 (ko) 2009-01-07 2015-11-05 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8609497B2 (en) * 2010-02-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of dual EPI process for semiconductor device
KR20120120639A (ko) 2011-04-25 2012-11-02 에스케이하이닉스 주식회사 반도체장치 제조 방법
KR20120121175A (ko) 2011-04-26 2012-11-05 에스케이하이닉스 주식회사 반도체 소자의 형성방법
JP6004956B2 (ja) * 2013-01-29 2016-10-12 株式会社日立ハイテクノロジーズ パターン評価装置、及び、パターン評価装置を備えた外観検査装置
US20160027783A1 (en) 2013-03-15 2016-01-28 Ps4 Luxco S.A.R.L. Production method for semiconductor device
US9362277B2 (en) 2014-02-07 2016-06-07 Globalfounries Inc. FinFET with multilayer fins for multi-value logic (MVL) applications and method of forming
KR102223035B1 (ko) 2014-03-05 2021-03-04 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102341458B1 (ko) 2015-04-15 2021-12-20 삼성전자주식회사 반도체 장치 제조 방법
US9837405B1 (en) * 2016-08-02 2017-12-05 International Business Machines Corporation Fabrication of a vertical fin field effect transistor having a consistent channel width
US10840150B2 (en) 2017-01-10 2020-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
CN109872946B (zh) * 2017-12-04 2020-12-01 联华电子股份有限公司 半导体装置的形成方法
US10319833B1 (en) * 2017-12-04 2019-06-11 International Business Machines Corporation Vertical transport field-effect transistor including air-gap top spacer
US10475904B2 (en) * 2018-01-11 2019-11-12 Globalfoundries Inc. Methods of forming merged source/drain regions on integrated circuit products
US10832975B2 (en) * 2018-06-12 2020-11-10 International Business Machines Corporation Reduced static random access memory (SRAM) device foot print through controlled bottom source/drain placement
US10784370B2 (en) * 2018-07-25 2020-09-22 International Business Machines Corporation Vertical transistor with uniform fin thickness

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060099826A (ko) * 2005-03-15 2006-09-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
CN101449367A (zh) * 2006-05-19 2009-06-03 飞思卡尔半导体公司 半导体结构图案形成
US20080090418A1 (en) * 2006-10-17 2008-04-17 Jeon Kyung-Yub Method for forming fine patterns of a semiconductor device using double patterning
US20080259687A1 (en) * 2007-04-19 2008-10-23 Michael Specht Integrated Circuits and Methods of Manufacturing Thereof
CN101345190A (zh) * 2007-07-10 2009-01-14 旺宏电子股份有限公司 图案的形成方法
CN101740523A (zh) * 2008-11-06 2010-06-16 东部高科股份有限公司 制造闪存装置的方法
CN102082117A (zh) * 2009-11-30 2011-06-01 海力士半导体有限公司 通过自对准镶嵌工艺在半导体器件中形成侧接触的方法
US20130230953A1 (en) * 2012-03-02 2013-09-05 Gaku Sudo Method for manufacturing semiconductor device
CN104124210A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN106169495A (zh) * 2015-05-22 2016-11-30 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
US10840150B2 (en) 2020-11-17
US20180197790A1 (en) 2018-07-12
CN108288648B (zh) 2023-05-26
KR20180082340A (ko) 2018-07-18
US20210020523A1 (en) 2021-01-21
US11443988B2 (en) 2022-09-13
KR102488070B1 (ko) 2023-01-13

Similar Documents

Publication Publication Date Title
JP7184885B2 (ja) 半導体デバイスを形成する方法および半導体デバイス
TWI655712B (zh) 用於半導體元件的自對準結構與其製作方法
CN109427870B (zh) 半导体结构及其形成方法
US10083875B2 (en) Vertical transistors having different gate lengths
TWI728413B (zh) 半導體裝置與半導體結構之形成方法、以及半導體裝置
TW202003370A (zh) 包含二維半導電性材料之奈米片場效電晶體
CN108231588A (zh) 晶体管及其形成方法
KR20190076843A (ko) 강유전체 전계 효과 트랜지스터의 제조방법
CN108122846A (zh) 包括鳍式场效应晶体管的半导体器件及其形成方法
US20080003725A1 (en) Method for forming a semiconductor device and structure thereof
CN109585548A (zh) 半导体结构
TWI567981B (zh) 鰭部件的結構及其製造方法
CN103578954A (zh) 具有金属栅极的半导体集成电路
CN107039258A (zh) 形成栅极的方法和finfet
US9269575B2 (en) Trench sidewall protection for selective epitaxial semiconductor material formation
CN107017286A (zh) 半导体元件及其制造方法
US10714569B1 (en) Producing strained nanosheet field effect transistors using a phase change material
CN112750908A (zh) 在芯轴上具有包括二维材料的沟道区的场效应晶体管
CN106992119A (zh) 半导体装置的制造方法
CN109216258A (zh) 半导体结构的制造方法
CN109427670A (zh) 周围包裹的外延结构和方法
CN112420515A (zh) 半导体装置的形成方法
US20230387328A1 (en) Semiconductor device and method
CN109427889A (zh) 鳍式场效应晶体管器件和方法
KR20090083715A (ko) 실리사이드화 공정을 이용하는 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant