CN108220919B - 用于排出沉积抑制气体的喷淋板结构 - Google Patents

用于排出沉积抑制气体的喷淋板结构 Download PDF

Info

Publication number
CN108220919B
CN108220919B CN201710940998.7A CN201710940998A CN108220919B CN 108220919 B CN108220919 B CN 108220919B CN 201710940998 A CN201710940998 A CN 201710940998A CN 108220919 B CN108220919 B CN 108220919B
Authority
CN
China
Prior art keywords
shower plate
front surface
gas
shower
stepped section
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710940998.7A
Other languages
English (en)
Other versions
CN108220919A (zh
Inventor
山田令子
川原润
佐藤和男
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN108220919A publication Critical patent/CN108220919A/zh
Application granted granted Critical
Publication of CN108220919B publication Critical patent/CN108220919B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B16/00Spray booths
    • B05B16/60Ventilation arrangements specially adapted therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

一种适于安装在等离子体沉积设备中的喷淋板,该等离子体沉积设备包括气体入口,喷淋头,反应腔室和排放管,喷淋板适于附接到所述喷淋头并且具有:前表面,所述前表面适于面对所述气体入口;以及与前表面相反的后表面,其中所述喷淋板具有分别从前表面延伸到后表面的多个孔,并且其中所述喷淋板还具有从所述喷淋板的前表面侧延伸到排放管的至少一个孔。

Description

用于排出沉积抑制气体的喷淋板结构
技术领域
本发明总体上涉及在半导体制造工艺中使用的等离子体沉积设备,特别涉及设置在该设备中的喷淋板结构。
背景技术
等离子体增强CVD(PECVD)和等离子体增强ALD(PEALD)工艺通常用于在诸如半导体晶片的衬底的图案化表面上沉积薄膜。这些工艺通常通过将前体气体或气体混合物引入到包含衬底的腔室中来实现。前体气体或气体混合物通常通过位于腔室顶部附近的喷淋板向下引导。
然后,通过从连接到反应腔室的一个或多个RF源向腔室施加射频(RF)功率,设置在腔室中的前体气体或气体混合物被激励或激发成等离子体。激发的气体或气体混合物在衬底表面上形成一层材料,该表面位于温度受控的衬底支架上。在反应期间产生的副产物(例如,沉积抑制气体)通过排放系统从腔室泵送。
图1示出了具有限定气体入口空间的喷淋板结构2和防护板3的常规喷淋头1的概况图。喷淋板结构2和防护板3通过螺钉5彼此固定。O形环4附接在喷淋板结构2和防护板3之间。气体入口空间中的前体气体或气体混合物通过喷淋板结构的多个孔引入腔室中。
已经包括在本公开中的背景技术的任何讨论仅仅是为了提供本发明的背景,并且不应被认为承认任何或所有讨论构成现有技术的部分或在本发明形成时在本领域中是已知的。
发明内容
近年来,通过改善喷淋板的结构,提出了在图案化表面上沉积薄膜的方法。在图案化表面上更均匀地形成膜的需求不断增加。然而,用传统的喷淋头形成薄膜可能是困难的。一个原因是残留在喷淋板结构和防护板之间(例如,气体入口空间的两个端部和O形环4周围的区域)的副产物的问题,这对薄膜在衬底的表面的均匀沉积产生负面影响。因此,能够将副产物排出喷淋头和腔室外的喷淋头的研发是重要的,这有助于提高在衬底表面上形成的薄膜的均匀性。
在一方面,本发明的实施例提供一种适于安装在等离子体沉积设备中的喷淋板,该等离子体沉积设备包括气体入口,喷淋头,基座,反应腔室和排放管,喷淋板适于附接到所述喷淋头并且包括:前表面,所述前表面适于面对所述气体入口;以及与前表面相反的后表面,并且所述喷淋板具有从前表面延伸到后表面的多个孔,并且所述喷淋板还具有从所述喷淋板的前表面侧延伸到排放管的至少一个孔。
在一些实施例中,喷淋板还在喷淋板的前表面侧上具有台阶形部段,前表面被台阶形部段沿外周(例如,沿圆周)包围,并且至少一个孔从台阶形部段延伸到排放管。在一些实施例中,喷淋板具有从前表面延伸到排放管的至少一个孔。
为了总结本发明的方面和相对于现有技术实现的一个或多个优点,本公开描述了本发明的某些目的和优点。当然,应当理解,根据本发明的任何特定实施例,不一定可以实现所有或任何此类目的或优点。因此,例如,本领域技术人员将认识到,本发明可以以实现或优化本文教导的一个优点或一组优点的方式来实现或实施,而不一定实现在这里教导或建议的一个或多个其它目的或优点。从下面的详细描述中,本发明的其它方面,特征和优点将变得显而易见。
考虑到参照附图的如下描述和随附权利要求,本文中公开的系统和/或方法的这些和其它目的,特征和特性以及操作方法和结构的相关元件的功能以及部件的组合和制造的经济性将变得更加明显,所有这些描述都构成本说明书的一部分,其中相同的附图标记表示各图中的对应的部件。然而,应当明确地理解,附图仅用于说明和描述的目的,并不旨在作为本发明的限制的限定。如在说明书和权利要求书中所使用的,除非上下文另有明确规定,单数形式的“a”,“an”和“the”包括复数指代。
附图说明
现在将参考优选实施例的附图来描述本发明的这些和其它特征,附图旨在说明而不是限制本发明。附图过于简化而用于说明性目的,并且不一定按比例绘制。
图1是具有喷淋板结构和防护板的常规喷淋头的图解示意图。
图2是表示根据实施例的基本结构的PEALD设备的图解示意图。
图3是具有位于台阶形部段处的多个孔的喷淋板结构的实施例的图解示意图。
图4是在示例1的情况下,在衬底的表面上形成的薄膜的每个位置的厚度比的图。
图5是具有位于台阶形部段处的多个孔的喷淋板结构的实施例的图解示意图。
图6是具有位于台阶形部段处的多个孔的喷淋板结构的实施例的图解示意图。
图7是在示例3的情况下,在衬底的表面上形成的薄膜的每个位置的厚度比的图。
图8是前侧的喷淋板的透视图。
具体实施方式
本发明包括,但是不限于如下实施例:
作为工艺的示例,解释了等离子体增强ALD(PEALD)工艺,以更好地理解喷淋板何时以及如何用于沉积薄膜。不用说,喷淋板可以替代地或另外地用于PECVD工艺中。然而,本发明的实施例不限于仅在PEALD和PECVD工艺中使用。
如上所述,喷淋板可用于PECVD和PEALD工艺。在PEALD工艺中,将衬底或工件放置在反应腔室中并进行交替重复的表面反应。通过重复自限制ALD循环形成SiN薄膜。期望地,为了形成SiN薄膜,每个ALD循环包括至少两个不同的阶段。从反应空间中提供和除去反应物可以被认为是一个阶段。在第一阶段中,提供包含硅的第一反应物,并在衬底表面上形成不超过约一个单层。该反应物在本文中也称为“硅前体”,“含硅前体”,“含卤素硅前体”或“硅反应物”,并且可以是例如H2SiI2,(SiI2)(NH2)2,(SiI2)(NHMe)2,(SiI2)(NHEt)2,(SiI2)(NHiPr)2,(SiI2)(NHtBu)2,(SiI2)(NMe2)2,(SiI2)(NMeEt)2,(SiI2)(NMeiPr)2,(SiI2)(NMetBu)2,(SiI2)(NEt2)2,(SiI2)(NEtiPr)2,(SiI2)(NEttBu)2,(SiI2)(NiPr2)2,(SiI2)(NiPrtBu)2,and(SiI2)(NtBu)2
在第二阶段中,提供了包含活性物质的第二反应物,并且第二反应物可以将吸附的硅转化成氮化硅。第二反应物可以包含氮前体。活性物质可以包括被激发的物质。来自惰性气体的这些活性物质不一定对沉积薄膜贡献材料,但在某些情况下可能有助于薄膜生长以及有助于等离子体的形成和点燃。在一些实施例中,用于形成等离子体的气体可以在整个沉积工艺中不断地流动,但是仅间歇地激活。
可以添加另外的阶段,并根据需要除去阶段,以调节最终薄膜的组成。一种或多种反应物可以借助载气,例如Ar或He,来提供。硅前体和第二反应物借助载气提供。两个阶段可能重叠或组合。例如,硅前体和第二反应物可以以部分或完全重叠的脉冲的方式被同时提供。此外,尽管被称为第一和第二阶段以及第一和第二反应物,但是阶段的顺序可以改变,并且ALD循环可以从任一阶段开始。也就是说,除非另有说明,反应物可以以任何顺序提供,并且该工艺可以以任何反应物开始。
接下来,详细说明等离子体沉积设备的构造。
作为等离子体沉积设备的实例,图2示出了PEALD设备的实施例的示意图。如上所述,喷淋板可以额外地或替代地在PECVD工艺中使用,或者在除了PEALD或PECVD工艺的完全不同的工艺中使用。如图2所示,PEALD设备100包括真空(反应)腔室10,设置在真空腔室10的顶部并与真空腔室10绝缘的喷淋头11,基本上平行于喷淋头11设置在真空腔室10内的基座50,以及连接到附接到喷淋头11的气体管的RF电源7和8。真空腔室10在其侧部具有包括排放阀49的开口,并且包括连接到排放泵(未示出)的排放管34。喷淋头11具有中空结构,并且上管状部分包括连接到气体管线(未示出)的气体入口32(气体入口空间)。此外,在喷淋头11的底面,可移除地安装喷淋板结构体12(喷淋板)。在喷淋板结构12中,如图1和2所示的许多气体出口孔21(孔或孔)如图3,5和7所示,从喷孔板结构12和防护板13至少部分地限定的气体入口32引入的源气体的射流从孔向基座50发射通过可拆卸地安装喷淋板结构12,维护变得更容易,并且可以减少部件更换阶段关的成本。基座50在基座50的下端附接到加热器51.基座50大致平行于喷淋板结构12设置,并且保持放置在其上表面上的衬底(衬底)16。此外,真空腔室10接地。真空腔室10还具有如下开口,所述开口在内侧壁上具有用于衬底传输的闸阀(未示出)。
喷淋头11具有中空结构,并且上管状部分包括连接到气体管线(未示出)的气体入口32(气体入口空间)。此外,在喷淋头11的下表面处,可移除地附接喷淋板结构12(喷淋板)。在喷淋板结构12中,形成如图3,5和7所示的许多气体出口孔21(孔穴或孔隙),使得从喷淋板结构12和防护板13所至少部分地限定的气体入口32引入的源气体的射流从孔向基座50发射。通过可移除地附接喷淋板结构12,维护变得更容易,并且可以减少部件更换相关的成本。基座50在基座50的下端部处附接到加热器51。基座50大致平行于喷淋板结构12设置,并且保持放置在其上表面上的衬底(基板)16。
在图2中,喷淋板结构12和防护板13通过螺钉5彼此固定,并且O形环14附接在喷淋板结构12和防护板13之间。在一个实施例中,喷淋板12在喷淋板结构12的前表面侧还具有台阶形部段18,并且前表面区域20(前表面)被台阶形部段18外围地(例如,圆周地)包围,并且至少一个孔22(期望地多个孔(孔穴))从台阶形部段18的表面延伸到排放管34,以使副产物气体沿该方向传输通过其中。图8示出了喷淋板结构12的顶部透视图的示意图,其中图示了多个气体出口孔21,多个孔22,台阶形部段18和喷淋板结构12的前表面区域20。
在沉积工艺中形成并且泄漏到(有时称为“微泄漏”)气体入口端部周围并且围绕O形环14的区域的副产物气体对沉积在衬底的表面上的薄膜的厚度的均匀性具有负面影响。然而,如图2所示,通过另外形成至少一个从台阶形部段18的表面至排放管34的孔22,可以直接从O形环14周围的区域向排放管34有效地发出这种有问题的副产物气体,O形环14位于台阶形部段18的顶部。在一个实施例中,为了排出这种副产物气体,至少一个孔形成为从喷淋板12的台阶形部段18的边缘到喷淋板12的后侧的排放管34。在一个实施例中,至少一个孔22可以形成为从台阶形部段18的侧壁的表面延伸到排放管,并且也有效地使副产物气体通过其中。
在一个实施例中,从台阶形部段的表面延伸到排放管的至少一个孔可以相对于垂直于台阶形部段的表面的方向倾斜。在一个实施例中,所述至少一个孔可以从喷淋板的前表面延伸到排放管。从喷淋板的前表面延伸到排放管的至少一个孔可以相对于垂直于喷淋板的前表面的方向倾斜。
在一个实施例中,喷淋板可以具有从前表面的边缘延伸或在前表面的边缘向内5毫米内的位置处的至少一个孔。在另一个实施例中,喷淋板具有从喷淋板的前表面的边缘附近延伸到排放气体的至少一个孔。
在一个实施例中,喷淋板可以具有,可选地或另外地,从前表面的边缘延伸或从喷淋板的前表面的边缘向内地到其后表面的5毫米内的位置处的至少一个孔,以将副产物气体间接地从喷淋板的前表面侧传送到排气管。在另一实施例中,喷淋板具有从喷淋板的前表面的边缘附近延伸到其后表面的至少一个孔,以将副产物气体间接地从喷淋板的前表面侧传送到排气管。
在一个实施例中,从喷淋板的前表面的边缘附近延伸到其后表面的至少一个孔的方向与分别从前表面延伸到后表面的多个孔的方向大致地相同。在另一实施例中,从喷淋板的前表面的边缘附近延伸到其后表面的至少一个孔其相对于垂直于喷淋板的前表面的方向倾斜。
将参考不旨在限制本发明的具体示例来详细描述本发明的实施例。
在特定示例中应用的数字可以被修改至少±50%的范围,其中可以包括或排除范围的端点。
示例
现在将参照不旨在限制本发明的范围的以下示例来描述本发明的实施例。
示例1
具有从台阶形部段的表面延伸到排放管的多个孔的喷淋板。
具有防护板(喷淋头)的喷淋板结构的实施例的示意图如图3所示。喷淋头11具有部分限定气体入口空间的喷淋板结构12和防护板13。喷淋板结构12和防护板13用螺钉5固定。O形环14附接在喷淋板结构12和防护板13之间。喷淋板12在喷淋板12的前表面侧具有台阶形部段18,并且前表面区域20(前表面)由台阶形部段18外围地包围。至少一个孔22从台阶形部段18的表面延伸到排放管,以在这个方向上使副产物气体通过其中。
孔22的构造
孔的数量:96
直径:1mm
长度:33.88mm
孔相对于垂直于喷淋板的前表面的方向的倾斜角度:51.5°
孔的位置:台阶形部段的边缘
气体入口空间中的前体气体或气体混合物通过喷淋板结构的多个孔(孔穴)21引入腔室中。在沉积工艺中形成并且泄漏到(有时称为“微泄漏”)气体入口端部周围并且围绕O形环14的区域的副产物气体对沉积在衬底的表面上的薄膜的厚度的均匀性具有负面影响。
已经发现,通过将这种副产物气体从O形环14周围的区域排出到排放管34,可以基本上避免或减少该问题。为了排出这种副产物气体,多个孔22(例如,96个孔)形成为从喷淋板12的台阶形部段18的边缘到喷淋板12的后侧的排放管34。发现从台阶形部段18的侧壁的表面延伸到排放管的至少一个孔22也有效地使副产物气体通过。
实验结果示于图4中。图4示出了在衬底的表面上形成的薄膜的每个位置的厚度比的图。灰度的变化显示了薄膜厚度的变化。所得到的图显示了在每个点处的薄膜的相对于薄膜的平均厚度的厚度,其范围为薄膜的平均厚度的-3.0%至薄膜的平均厚度的+3.0%。在薄膜的49个位置处测量薄膜的厚度,并且基于这种测量计算平均厚度。
根据该图,在该示例中,薄膜厚度的标准偏差值被计算为1.0[1sigma%],具有27.6[nm]的平均薄膜厚度。标准偏差值越小,薄膜均匀性越好。为了比较的目的,在图1所示的常规喷淋板中,除了在该示例中采用的孔22之外,在条件相同的情况下,薄膜厚度的标准偏差值被计算为28.1[1sigma%]。发现如果薄膜厚度的标准偏差值为15.0[1sigma%]或更小,则可以得出结论,与常规的薄膜沉积方法相比,薄膜具有良好的薄膜沉积质量(薄膜厚均匀性)。发现如果薄膜厚度的标准偏差值为10.0[1sigma%]或更小,则可以得出结论,薄膜表现出更优良的薄膜沉积质量。
示例2
具有从前表面的边缘延伸到排放管的多个孔的喷淋板。
具有防护板(喷淋头)的喷淋板结构的实施例的示意图如图5所示。喷淋头11具有限定气体入口空间的喷淋板结构12和防护板13。喷淋板结构12和防护板13用螺钉5固定。O形环14附接在喷淋板结构12和防护板13之间。喷淋板12在喷淋板12的前表面侧具有台阶形部段18,并且前表面区域20(前表面)由台阶形部段18外围地包围。至少一个孔23从喷淋板结构12的前表面20的边缘延伸到排放管,以在这个方向上使副产物气体通过其中。
孔23的构造
孔的数量:96
直径:1mm
长度:31.93mm
孔相对于垂直于喷淋板的前表面的方向的倾斜角度:47.5°
孔的位置:喷淋板的前表面的边缘
气体入口空间中的前体气体或气体混合物通过喷淋板结构的多个孔(孔穴)21引入腔室中。在沉积工艺中形成并且泄漏到(有时称为“微泄漏”)气体入口端部周围并且围绕O形环14的区域的副产物气体对沉积在衬底的表面上的薄膜的厚度的均匀性具有负面影响。
已经发现,通过将这种副产物气体从那些区域排出到排放管34,可以基本上避免或减少该问题。为了排出这种副产物气体,多个孔23(例如,96个孔)形成为从喷淋板12的前表面20的边缘到喷淋板12的后侧30的排放管。
尽管未示出,但是获得了该实施例的实验结果。结果还以形成在衬底表面上的薄膜的每个位置的厚度比的图的形式得出。
从因而产生的图(未示出)中,在该示例中,薄膜厚度的标准偏差值被计算为5[1sigma%],具有25[nm]的平均薄膜厚度。还发现,具有从前表面的在其边缘向内2毫米内的位置延伸的孔的喷淋板12呈现出类似的薄膜厚度标准偏差值。如上所述,标准偏差值越低,薄膜均匀性越好。为了比较的目的,在图1所示的常规喷淋板中,除了在该示例中采用的孔23之外,在条件相同的情况下,薄膜厚度的标准偏差值被计算为28.1[1sigma%]。发现如果薄膜厚度的标准偏差值为15.0[1sigma%]或更小,则可以得出结论,与常规的薄膜沉积方法相比,薄膜具有良好的薄膜沉积质量(薄膜厚均匀性)。发现如果薄膜厚度的标准偏差值为10.0[1sigma%]或更小,则可以得出结论,薄膜表现出更优良的薄膜沉积质量。
示例3
具有从喷淋板的前表面的边缘延伸到其后表面的多个孔的喷淋板。
具有防护板(喷淋头)的喷淋板结构的实施例的示意图如图6所示。喷淋头11具有限定气体入口空间的喷淋板结构12和防护板13。喷淋板结构12和防护板13用螺钉5固定。O形环14附接在喷淋板结构12和防护板13之间。喷淋板12在喷淋板12的前表面侧具有台阶形部段18,并且前表面区域20(前表面)由台阶形部段18外围地包围。至少一个孔24从喷淋板12的前表面20的边缘延伸到喷淋板12的后表面30。
孔24的构造
孔的数量:102
直径:1mm
长度:25mm
孔的位置:喷淋板的前表面的边缘
气体入口空间中的前体气体或气体混合物通过喷淋板结构的多个孔(孔穴)21引入腔室中。在沉积工艺中形成并且泄漏到(有时称为“微泄漏”)气体入口端部周围并且围绕O形环14的区域的副产物气体对沉积在衬底的表面上的薄膜的厚度的均匀性具有负面影响。
已经发现,虽然在较小的程度上,通过将这种副产物气体间接地从那些区域排出到排放管34,可以基本上避免或减少该问题。为了排出这种副产物气体,多个孔24(例如,102个孔)形成为从喷淋板12的前表面20的边缘到喷淋板12的后表面30。在该示例中,尽管排放效果在从喷淋板12的前表面20的边缘直接地排出到排放管34时是更有效的,但是发现副产物气体也可以通过反应腔室10排出到排放管34(间接排放)。
该实施例的实验结果示于图7中。图7示出了在衬底的表面上形成的薄膜的每个位置的厚度比的图。灰度的变化显示了薄膜厚度的变化。所得到的图显示了在每个点处的薄膜的相对于薄膜的平均厚度的厚度,其范围为薄膜的平均厚度的-3.0%至薄膜的平均厚度的+3.0%。在薄膜的49个位置处测量薄膜的厚度,并且基于这种测量计算平均厚度。
根据该图,在该示例中,薄膜厚度的标准偏差值被计算为13.7[1sigma%],具有31.2[nm]的平均薄膜厚度。还发现,具有从前表面的在从其边缘向内2毫米内的位置延伸的孔的喷淋板12呈现出类似的薄膜厚度标准偏差值。如上所述,标准偏差越低,薄膜均匀性越好。为了比较的目的,在图1所示的常规喷淋板中,除了在该示例中采用的孔24之外,在条件相同的情况下,薄膜厚度的标准偏差值被计算为28.1[1sigma%]。发现如果薄膜厚度的标准偏差值为15.0[1sigma%]或更小,则可以得出结论,与常规的薄膜沉积方法相比,薄膜具有良好的薄膜沉积质量(薄膜厚均匀性)。
对于本文中基本上任何复数和/或单数术语的使用,本领域技术人员可以根据适于上下文的方式将复数形式转换为单数形式和/或从单数形式转换为复数形式。
尽管为了说明的目的已经基于目前被认为是最实际和优选的实施方式,详细描述了本公开的系统和/或方法,但是应当理解,这样的细节仅仅是为了这个目的,并且本发明不限于所公开的实现方式,而是相反,旨在覆盖在随附权利要求的精神和范围内的修改的和等同的装置。例如,应当理解,本发明考虑到,尽可能地,任何实现方式的一个或多个特征可以与任何其他实现方式的一个或多个特征组合。

Claims (14)

1.一种适于安装在等离子体沉积设备中的喷淋板,该等离子体沉积设备包括气体入口、喷淋头、工件支架、反应腔室和排放管,喷淋板适于附接到所述喷淋头并且包括:
前表面,所述前表面适于面对所述气体入口;以及
后表面,所述后表面与前表面相反,
其中所述喷淋板具有多个孔,每个孔从所述前表面延伸到所述后表面,以使气体在该方向上通过,
其中所述喷淋板还具有从所述喷淋板的前表面侧延伸到所述排放管的至少一个孔,以用于使副产物气体沿该方向通过,
其中所述喷淋板还在所述喷淋板的所述前表面侧处具有台阶形部段,所述前表面被所述台阶形部段沿外周包围,所述台阶形部段具有第一表面和第二表面,所述第一表面相对于所述前表面成一角度延伸,所述第二表面在所述第一表面上方、相对于所述第一表面以一角度延伸,并且所述至少一个孔从所述台阶形部段的所述第二表面延伸到所述排放管,并且
其中所述第二表面处的至少一个孔高于所述前表面处的所述多个孔。
2.根据权利要求1所述的喷淋板,其中:
从台阶形部段的表面延伸到排放管的所述至少一个孔相对于垂直于台阶形部段的表面的方向倾斜。
3.根据权利要求2所述的喷淋板,其中:
所述至少一个孔的相对于喷淋板的前表面的倾斜角度在0°-90°之间。
4.根据权利要求1所述的喷淋板,其中:
所述喷淋板具有从所述喷淋板的前表面侧延伸到所述排放管的多个孔,所述多个孔大致均匀地沿外周分布。
5.根据权利要求1所述的喷淋板,其中:
喷淋板具有从喷淋板的前表面侧延伸到排放管的90个到120个的范围中的孔。
6.根据权利要求1所述的喷淋板,其中:
喷淋板还具有从前表面的边缘或从喷淋板的前表面的边缘向内2毫米内的位置延伸到其后表面的至少一个孔,以将副产物气体间接地从喷淋板的前表面侧传送到排气管。
7.根据权利要求1所述的喷淋板,其中:
喷淋板还具有从喷淋板的前表面的边缘附近延伸到其后表面的至少一个孔,以将副产物气体间接地从喷淋板的前表面侧传送到排气管。
8.根据权利要求7所述的喷淋板,其中:
从喷淋板的前表面的边缘附近延伸到其后表面的所述至少一个孔的方向与分别从前表面延伸到后表面的所述多个孔的方向大致地相同。
9.根据权利要求7所述的喷淋板,其中:
从喷淋板的前表面的边缘附近延伸到其后表面的所述至少一个孔相对于垂直于喷淋板的前表面的方向倾斜。
10.根据权利要求9所述的喷淋板,其中:
所述至少一个孔的相对于喷淋板的前表面的倾斜角度在0°-90°之间。
11.一种衬底处理设备,包括根据权利要求1所述的喷淋板。
12.一种处理设备,包括:
气体入口;
喷淋头;
工件支架;
反应腔室;
排放管;和
附接到喷淋头的喷淋板,所述喷淋板包括:
前表面,所述前表面适于面对所述气体入口,以及
后表面,所述后表面与前表面相反,
其中所述喷淋板具有多个气体供应孔,每个气体供应孔从所述前表面延伸到所述后表面,以使气体在该方向上通过,并且
其中所述喷淋板还具有从所述喷淋板的前表面侧延伸到所述排放管的至少一个气体排放孔,以使副产物气体沿该方向通过所述至少一个气体排放孔,所述至少一个气体排放孔在所述排放管的端口的下游位置处连接到排放管中。
13.根据权利要求12所述的处理设备,其中:
喷淋板还在喷淋板的前表面侧上具有台阶形部段,前表面被台阶形部段沿外周包围,并且所述至少一个孔从台阶形部段的表面延伸到排放管。
14.根据权利要求12所述的处理设备,其中:
处理设备被构造成在工件上沉积材料。
CN201710940998.7A 2016-12-15 2017-10-10 用于排出沉积抑制气体的喷淋板结构 Active CN108220919B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/380,557 2016-12-15
US15/380,557 US10801106B2 (en) 2016-12-15 2016-12-15 Shower plate structure for exhausting deposition inhibiting gas

Publications (2)

Publication Number Publication Date
CN108220919A CN108220919A (zh) 2018-06-29
CN108220919B true CN108220919B (zh) 2021-04-20

Family

ID=62556838

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710940998.7A Active CN108220919B (zh) 2016-12-15 2017-10-10 用于排出沉积抑制气体的喷淋板结构

Country Status (5)

Country Link
US (1) US10801106B2 (zh)
JP (1) JP7062378B2 (zh)
KR (1) KR102403103B1 (zh)
CN (1) CN108220919B (zh)
TW (1) TWI741066B (zh)

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
EP3719044A4 (en) 2017-11-29 2022-01-05 Mitsui Chemicals, Inc. CYCLIC OLEFIN-BASED COPOLYMER, CYCLIC OLEFIN-BASED COPOLYMER COMPOSITION, MOLDED BODY AND MEDICAL CONTAINER
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020023409A1 (en) * 2018-07-24 2020-01-30 Applied Materials, Inc. Optically transparent pedestal for fluidly supporting a substrate
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018130859A1 (de) * 2018-12-04 2020-06-04 Aixtron Se CVD-Reaktor mit einem von einer Schirmplatten-Anordnung abgedeckten Gaseinlassorgan
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
WO2020243288A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD931978S1 (en) * 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) * 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN117916846A (zh) * 2021-08-25 2024-04-19 应用材料公司 夹式双通道喷淋头
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
IT1396495B1 (it) 2009-02-24 2012-12-14 Nikles Tec Italia Srl Dispositivo di erogazione di un getto d'acqua areato
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
USD665055S1 (en) 2012-01-24 2012-08-07 Asm Ip Holding B.V. Shower plate
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101375742B1 (ko) * 2012-12-18 2014-03-19 주식회사 유진테크 기판처리장치
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
USD732145S1 (en) 2014-02-04 2015-06-16 Asm Ip Holding B.V. Shower plate
USD732644S1 (en) 2014-02-04 2015-06-23 Asm Ip Holding B.V. Top plate
USD720838S1 (en) 2014-02-04 2015-01-06 Asm Ip Holding B.V. Shower plate
USD724701S1 (en) 2014-02-04 2015-03-17 ASM IP Holding, B.V. Shower plate
USD733257S1 (en) 2014-02-14 2015-06-30 Hansgrohe Se Overhead shower
JP2016039356A (ja) * 2014-08-06 2016-03-22 ピーエスケー・インコーポレーテッド バッフル及びこれを含む基板処理装置
USD751176S1 (en) 2014-08-07 2016-03-08 Hansgrohe Se Overhead shower
US9493933B2 (en) 2014-08-07 2016-11-15 Brasscraft Manufacturing Company Pedestal strainer for a sink drain
JP5808472B1 (ja) * 2014-09-24 2015-11-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP5775633B1 (ja) 2014-09-29 2015-09-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
USD787458S1 (en) 2015-11-18 2017-05-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
TWD178425S (zh) 2016-01-08 2016-09-21 ASM知識產權私人控股有&#x9 用於半導體製造設備的電極板
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD785766S1 (en) 2016-06-15 2017-05-02 Asm Ip Holding B.V. Shower plate

Also Published As

Publication number Publication date
TW201833372A (zh) 2018-09-16
JP7062378B2 (ja) 2022-05-06
US10801106B2 (en) 2020-10-13
KR102403103B1 (ko) 2022-05-27
JP2018138691A (ja) 2018-09-06
CN108220919A (zh) 2018-06-29
KR20180069686A (ko) 2018-06-25
TWI741066B (zh) 2021-10-01
US20180171472A1 (en) 2018-06-21

Similar Documents

Publication Publication Date Title
CN108220919B (zh) 用于排出沉积抑制气体的喷淋板结构
CN110016655B (zh) 用于供应载气和干燥气体的喷淋板结构
US10590530B2 (en) Gas control in process chamber
KR102501472B1 (ko) 기판 처리 방법
US10246777B2 (en) Heater block having continuous concavity
KR102493324B1 (ko) 필름 스택들의 형성을 위한 이중-채널 샤워헤드
KR20190052154A (ko) 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법
KR20180063819A (ko) 기판 처리 장치 및 기판 처리 방법
TW201324663A (zh) 用於改良之沉積均勻性的前驅物分配特性
CN108642474A (zh) 一种用于在基片上沉积膜的基片处理系统
KR100791677B1 (ko) 반도체 소자 제조를 위한 고밀도 플라즈마 화학기상증착장치
US20150368796A1 (en) Apparatus for gas injection to epitaxial chamber
US20230126912A1 (en) Plasma cvd apparatus with a bevel mask with a planar inner edge
KR100686724B1 (ko) 화학기상증착장치
KR20130085905A (ko) Cvd 균일 흡출/펌핑 안내 구조
US10354844B2 (en) Insulator structure for avoiding abnormal electrical discharge and plasma concentration
US20220093366A1 (en) Showerhead for deposition tools having multiple plenums and gas distribution chambers
US11049699B2 (en) Gas box for CVD chamber
TW202015115A (zh) 蝕刻方法及蝕刻裝置
KR20180003826A (ko) 박막 증착 방법
KR102208609B1 (ko) 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
KR101723110B1 (ko) 박막 형성방법 및 원자층 증착장치
KR20230081649A (ko) 처리 어셈블리, 챔버 및 방법
KR20190140886A (ko) 기판 처리 장치
CN117238760A (zh) 等离子体蚀刻的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant