TW202015115A - 蝕刻方法及蝕刻裝置 - Google Patents

蝕刻方法及蝕刻裝置 Download PDF

Info

Publication number
TW202015115A
TW202015115A TW108125539A TW108125539A TW202015115A TW 202015115 A TW202015115 A TW 202015115A TW 108125539 A TW108125539 A TW 108125539A TW 108125539 A TW108125539 A TW 108125539A TW 202015115 A TW202015115 A TW 202015115A
Authority
TW
Taiwan
Prior art keywords
film
convex portions
etching
processed
gas
Prior art date
Application number
TW108125539A
Other languages
English (en)
Inventor
柳澤佑典
瀧野裕輔
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202015115A publication Critical patent/TW202015115A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明之課題為改善遮罩的肩部形狀。

其解決手段為一種蝕刻方法,包含以下工序:成膜工序,係對被處理體成膜出第2膜,該被處理體具有:處理對象膜、具有形成於處理對象膜上的複數凸部之層、以及會覆蓋複數凸部間所露出的處理對象膜及各凸部之第1膜;第1蝕刻工序,係使第2膜殘留在第1膜當中覆蓋各凸部側面的部分之狀態下來蝕刻第2膜;以及第2蝕刻工序,係使第2膜殘留在第1膜當中覆蓋各凸部側面的部分之狀態下來蝕刻第1膜,藉此讓各凸部的頂部及複數凸部間的處理對象膜露出。

Description

蝕刻方法及蝕刻裝置
本揭示係關於一種蝕刻方法及蝕刻裝置。
過去,藉由蝕刻來進行圖案化之技術已知有一種自對準多重圖案化(SAMP:Self-Aligned Multi Patterning)技術。SAMP中係使用例如具有處理對象膜、形成於處理對象膜上之複數凸部所構成的犧牲(Mandrel)層、以及會覆蓋複數凸部間所露出的處理對象膜及各凸部之間隔膜之晶圓。SAMP中首先會對間隔膜施予蝕刻來讓犧牲層的各凸部與複數凸部間的處理對象膜露出。接著,SAMP中會選擇性地去除所露出之犧牲層的各凸部。之後,SAMP中會以所殘留的間隔膜作為遮罩來蝕刻處理對象膜。
[先前技術文獻] [專利文獻]
專利文獻1:日本特開2009-099938號公報
專利文獻2:日本特開2012-178378號公報
本揭示係提供一種可改善遮罩的肩部形狀之技術。
本揭示一樣態之蝕刻方法包含以下工序:成膜工序,係對被處理體成膜出第2膜,該被處理體具有:處理對象膜、具有形成於該處理對象膜上的複數凸部之層、以及會覆蓋該複數凸部間所露出的該處理對象膜及各該凸部之第1膜;第1蝕刻工序,係使該第2膜殘留在該第1膜當中覆蓋各該凸部側面的部分之狀態下來蝕刻該第2膜;以及第2蝕刻工序,係使該第2膜殘留在該第1膜當中覆蓋各該凸部側面的部分之狀態下來蝕刻該第1膜,藉此讓各該凸部的頂部及該複數凸部間的該處理對象膜露出。
依據本揭示,便能達成可改善遮罩的肩部形狀之效果。
10‧‧‧蝕刻裝置
12‧‧‧腔室
20‧‧‧載置台
30‧‧‧排氣裝置
32‧‧‧微波產生器
201‧‧‧基板
202‧‧‧處理對象膜
203‧‧‧犧牲層
203a‧‧‧凸部
205‧‧‧間隔膜
206‧‧‧內襯膜
FCG1、FCG2‧‧‧流量控制單元群
GSG1、GSG2‧‧‧氣體源群
圖1係顯示一實施型態相關之蝕刻裝置的一概略例之剖面圖。
圖2係顯示槽縫板一範例之俯視圖。
圖3係顯示介電窗一範例之俯視圖。
圖4為圖3之A-A剖面圖。
圖5係顯示於圖3所示之介電窗上設置有圖2所示之槽縫板的狀態之俯視圖。
圖6係顯示一實施型態中的晶圓構造一範例之剖面圖。
圖7係顯示一實施型態相關之蝕刻方法的處理流程一範例之流程圖。
圖8A係用以說明一實施型態相關之蝕刻方法的處理流程一範例之圖式。
圖8B係用以說明一實施型態相關之蝕刻方法的處理流程一範例之圖式。
圖9係用以進一步地說明一實施型態中的第2蝕刻工序之圖式。
圖10係顯示其他實施型態相關之蝕刻方法的處理流程一範例之流程圖。
圖11A係用以說明其他實施型態相關之蝕刻方法的處理流程一範例之圖式。
圖11B係用以說明其他實施型態相關之蝕刻方法的處理流程一範例之圖式。
以下,參閱圖式來針對各種實施型態詳細地說明。此外,各圖式中針對相同或相當的部分則賦予相同的符號。
過去,藉由蝕刻來進行圖案化之技術已知有一種自對準多重圖案化(SAMP:Self-Aligned Multi Patterning)技術。SAMP中係使用例如具有處理對象膜、形成於處理對象膜上之複數凸部所構成的犧牲(Mandrel) 層、以及會覆蓋複數凸部間所露出的處理對象膜及各凸部之間隔膜之晶圓。SAMP中首先會對間隔膜施予蝕刻來讓犧牲層的各凸部與複數凸部間的處理對象膜露出。接著,SAMP中會選擇性地去除所露出之犧牲層的各凸部。之後,SAMP中會以所殘留的間隔膜作為遮罩來蝕刻處理對象膜。
然而,上述技術在對間隔膜施予蝕刻來讓犧牲層的各凸部與處理對象膜露出之際,會有所殘留之間隔膜的肩部被蝕刻而變圓之問題。
亦即,對間隔膜施予蝕刻來讓犧牲層的各凸部與處理對象膜露出之階段中,處理對象膜上會殘留有頂部露出後之犧牲層的各凸部,且犧牲層的各凸部兩側會殘留有間隔膜。於是,便會有間隔膜的上面當中,挾置著犧牲層的各凸部之兩側部分的肩部變圓之情況。其結果,例如在間隔膜的肩部處,便會有相對於處理對象膜為垂直方向的遮罩厚度變薄,而損及在後續蝕刻中作為遮罩的選擇性等功能之虞。又,SAMP中,當選擇性地去除所露出之犧牲層的各凸部後,由於所殘留之間隔膜並非左右對稱而無法成為垂直的矩形形狀,故在後續蝕刻中會有無法獲得均勻的蝕刻形狀之虞。
[蝕刻裝置10的構成]
圖1係顯示一實施型態相關之蝕刻裝置10的一概略例之剖面圖。蝕刻裝置10例如圖1所示,係具有腔室12。腔室12係提供用以收納被處理體一範例(即晶圓W)之處理空間S。腔室12係具有側壁12a、底部12b及頂部12c。側壁12a係具有以Z軸作為軸線之略圓筒形狀。Z軸係在鉛直方向上通過例如後述載置台的中心。
底部12b係設置於側壁12a的下端側。又,側壁12a的上端部呈開口。側壁12a上端部的開口係藉由介電窗18被封閉。介電窗18係被挾持在側壁12a的上端部與頂部12c之間。介電窗18與側壁12a的上端部之間亦可介設有密封組件SL。密封組件SL為例如O型環,有助於腔室12的密閉。
在腔室12內,介電窗18的下方係設置有載置台20。載置台20係包含有下部電極LE及靜電夾具ESC。下部電極LE係包含有例如鋁等 所形成之略圓板狀的第1板體22a及第2板體22b。第2板體22b係藉由筒狀的支撐部SP被加以支撐。支撐部SP係從底部12b延伸於垂直上方。第1板體22a係設置於第2板體22b上且與第2板體22b電性導通。
下部電極LE係透過供電棒PFR及匹配單元MU而電連接於高頻電源RFG。高頻電源RFG會將高頻偏壓供應至下部電極LE。高頻電源RFG所產生之高頻偏壓的頻率為適於控制被引入晶圓W的離子能量之特定頻率,例如13.56MHz。匹配單元MU係收納有用以在高頻電源RFG側的阻抗與主要為電極、電漿、腔室12之負荷側的阻抗之間取得匹配之匹配器。該匹配器中係包含有例如自偏壓生成用的阻隔電容器等。
靜電夾具ESC係設置於第1板體22a上。靜電夾具ESC係具有用以將晶圓W載置於處理空間S側之載置區域MR。載置區域MR為略正交於Z軸之略圓形的區域,係具有與晶圓W的直徑大致相同的直徑或稍小於晶圓W的直徑之直徑。又,載置區域MR係構成載置台20的上面,該載置區域MR的中心,即載置台20的中心係位在Z軸上。
靜電夾具ESC會以靜電吸附力來保持晶圓W。靜電夾具ESC係包含有設置於介電體內之吸附用電極。靜電夾具ESC的吸附用電極係透過開關SW及披覆線CL而連接有直流電源DCS。靜電夾具ESC會藉由從直流電源DCS施加的直流電壓所產生之庫倫力,來將晶圓W吸附保持在靜電夾具ESC的上面。靜電夾具ESC的徑向外側係設置有環狀地圍繞晶圓W周圍之聚焦環FR。
第1板體22a的內部係形成有環狀的流道24。流道24係從冷卻單元透過配管PP1而供應有冷媒。被供應至流道24之冷媒會透過配管PP3而被回收至冷卻單元。進一步地,蝕刻裝置10中會透過供應管PP2來將來自傳熱氣體供應部的傳熱氣體(例如He氣體等)供應至靜電夾具ESC的上面與晶圓W的內面之間。
載置台20外周的外側,即載置台20與側壁12a之間係形成有空間,此空間在俯視觀看下會成為環形的排氣道VL。排氣道VL與處理空間S之間係設置有形成有複數貫穿孔的環狀擋板26。排氣道VL係透過排氣口28h而連接於排氣管28。排氣管28被安裝在腔室12的底部12b。排 氣管28係連接有排氣裝置30。排氣裝置30係具有壓力調整器及渦輪分子幫浦等真空幫浦。藉由排氣裝置30,便可將腔室12內的處理空間S減壓至所需真空度。又,對晶圓W所供應之氣體會因排氣裝置30而沿著晶圓W的表面朝向該晶圓W的邊緣外側流動,再從載置台20的外周透過排氣道VL而被排氣。
又,本實施型態中之蝕刻裝置10係具有加熱器HT、HS、HC及HE來作為溫度控制機構。加熱器HT係設置於頂部12c內,且圍繞天線14般地延伸成環狀。加熱器HS係設置於側壁12a內且環狀地延伸。加熱器HC係設置於第1板體22a內或靜電夾具ESC內。加熱器HC係設置於上述載置區域MR的中央部分下方,即交叉於Z軸之區域。加熱器HE係圍繞加熱器HC般地延伸成環狀。加熱器HE係設置於上述載置區域MR的外緣部分下方。
又,蝕刻裝置10係具有天線14、同軸導波管16、微波產生器32、調諧器34、導波管36及模式轉換器38。天線14、同軸導波管16、微波產生器32、調諧器34、導波管36及模式轉換器38係構成用以將被供應至腔室12內的氣體激發之電漿生成部。
微波產生器32會產生例如頻率2.45GHz的微波。微波產生器32係透過調諧器34、導波管36及模式轉換器38而連接於同軸導波管16的上部。同軸導波管16係沿著其中心軸線(即Z軸)延伸。
同軸導波管16係包含有外側導體16a及內側導體16b。外側導體16a係具有以Z軸為中心而延伸之圓筒形狀。外側導體16a的下端係電連接於具有導電性表面之冷卻套40的上部。內側導體16b係具有以Z軸為中心而延伸之圓筒形狀,且於外側導體16a的內側處而與該外側導體16a為同軸設置。內側導體16b的下端係連接於天線14的槽縫板44。
本實施型態中,天線14為RLSA(Radial Line Slot Antenna)。天線14係與載置台20呈對面般地配置於頂部12c所形成之開口內。天線14係包含有冷卻套40、介電體板42、槽縫板44及介電窗18。介電窗18為上部頂板一範例。介電體板42係具有略圓盤形狀,會縮短微波的 波長。介電體板42係由例如石英或氧化鋁等所構成,且被挾持在槽縫板44與冷卻套40的下面之間。
圖2係顯示槽縫板44一範例之俯視圖。槽縫板44為薄板狀,且為圓板狀。槽縫板44之板厚方向的兩面分別為平坦的。槽縫板44的中心CS係位在Z軸上。槽縫板44係設置有複數槽縫對44p。複數槽縫對44p係分別包含有貫穿於板厚方向之二個槽孔44a及44b。各槽孔44a及44b的平面形狀為例如長圓形狀。各槽縫對44p中,槽孔44a之長軸的延伸方向與槽孔44b之長軸的延伸方向係相互呈交叉或正交。複數槽縫對44p係圍繞槽縫板44的中心CS般地配列在中心CS的周圍。圖2所示之範例中,沿著二個同心圓而配列有複數槽縫對44p。各同心圓上,槽縫對44p係以略等間隔配列。槽縫板44係設置於介電窗18上的上面18u(參閱圖4)。
圖3係顯示介電窗18一範例之俯視圖,圖4為圖3之A-A剖面圖。例如圖3及圖4所示,介電窗18係由石英等介電體而形成為略圓盤狀。介電窗18的中央係形成有貫穿孔18h。貫穿孔18h的上側部分為收納有後述中央導入部50的噴射器50b之空間18s,下側部分則為後述中央導入部50的氣體噴出口18i。此外,本實施型態中,介電窗18的中心軸線係與Z軸一致。
介電窗18之與上面18u為相反側的面,即下面18b係面對處理空間S。下面18b係區劃出各種形狀。具體而言,下面18b係在圍繞氣體噴出口18i之中央區域中具有平坦面180。平坦面180為正交於Z軸之平坦的面。下面18b係區劃出環狀的第1凹部181。第1凹部181係在平坦面180的徑向上之外側區域處環狀地連續,並從下方朝上方錐狀地凹陷。
又,下面18b係區劃出複數第2凹部182。複數第2凹部182係從下方朝上方凹陷。複數第2凹部182的個數在圖3及圖4所示之範例中為7個,但亦可為6個以下或8個以上。複數第2凹部182係沿周向等間隔地配置。又,複數第2凹部182係在正交於Z軸之面上具有圓形的平面形狀。
圖5係顯示於圖3所示之介電窗18上設置有圖2所示之槽縫板44的狀態之俯視圖。圖5係顯示從下側來觀看介電窗18之狀態。例如圖5所示,俯視觀看下,亦即從Z軸方向觀看時,沿著徑向外側的同心圓而設置於槽縫板44之槽縫對44p係重疊於介電窗18的第1凹部181。又,沿著徑向內側的同心圓而設置於槽縫板44之槽縫對44p的槽孔44b係重疊於介電窗18的第1凹部181。進一步地,沿著徑向內側的同心圓所設置之槽縫對44p的槽孔44a係重疊於複數第2凹部182。
再次參閱圖1。微波產生器32所產生之微波係通過同軸導波管16而被被傳遞至介電體板42,再從槽縫板44的槽孔44a及44b被傳遞至介電窗18。被傳遞至介電窗18之微波的能量會在介電窗18的正下方處,而集中於具有較薄的板厚之部分所區劃成的第1凹部181及第2凹部182。因此,蝕刻裝置10便能夠以穩定地分佈在周向及徑向之方式來讓電漿產生。
又,蝕刻裝置10係具有中央導入部50及周邊導入部52。中央導入部50係包含有導管50a、噴射器50b及氣體噴出口18i。導管50a係配置於同軸導波管16之內側導體16b的內側。又,導管50a的端部係延伸至介電窗18沿著Z軸所區劃成的空間18s(參閱圖4)內。導管50a的端部下方處,且為空間18s內係收納有噴射器50b。噴射器50b係設置有延伸於Z軸方向之複數貫穿孔。又,介電窗18係具有上述氣體噴出口18i。氣體噴出口18i係在空間18s的下方處沿Z軸延伸,並與空間18s相連通。中央導入部50係透過導管50a來將氣體供應至噴射器50b,並從噴射器50b透過氣體噴出口18i來將氣體噴出至處理空間S內。如此般地,中央導入部50便會沿著Z軸來將氣體噴出至介電窗18正下方的處理空間S內。亦即,中央導入部50會將氣體導入至處理空間S內電子溫度較高的電漿生成區域。又,從中央導入部50所噴出之氣體係大致沿著Z軸而朝向晶圓W的中央區域流動。氣體噴出口18i為頂板供應口一範例。
中央導入部50係透過FCG1而連接有氣體源群GSG1。氣體源群GSG1會供應包含有複數氣體之混合氣體。流量控制單元群FCG1係包 含有複數流量控制器及複數開閉閥。氣體源群GSG1係透過流量控制單元群FCG1內的流量控制器及開閉閥而連接於中央導入部50的導管50a。
周邊導入部52例如圖1所示,係在高度方向(即Z軸方向)上,而設置於介電窗18的氣體噴出口18i與載置台20的上面之間。周邊導入部52會從沿著側壁12a之位置來將氣體導入至處理空間S內。周邊導入部52係包含有複數氣體噴出口52i。複數氣體噴出口52i係在高度方向上,沿著側壁12a的處理空間S側而配列在介電窗18的氣體噴出口18i與載置台20的上面之間。
周邊導入部52係包含有例如石英等所形成之環狀的管52p。管52p係形成有複數氣體噴出口52i。各氣體噴出口52i會朝Z軸方向來將氣體噴出至斜上方向。氣體噴出口52i為側壁供應口一範例。本實施型態之周邊導入部52雖例如圖1所示般地具有1個管52p,但作為其他型態,周邊導入部52亦可具有沿著腔室12之側壁12a的內側而配置於上下方向之2個以上的管52p。周邊導入部52的管52p係透過氣體供應塊56及流量控制單元群FCG2而連接有氣體源群GSG2。流量控制單元群FCG2係包含有複數流量控制器及複數開閉閥。氣體源群GSG2係透過流量控制單元群FCG2內的流量控制器及開閉閥而連接於周邊導入部52。流量控制單元群FCG1及FCG2,以及氣體源群GSG1及GSG2為供應部一範例。
蝕刻裝置10可獨立地控制從中央導入部50被供應至處理空間S內之氣體的種類及流量,以及從周邊導入部52被供應至處理空間S內之氣體的種類及流量。本實施型態中,蝕刻裝置10會從中央導入部50及周邊導入部52來將相同種類的氣體供應至處理空間S內。又,本實施型態中,從中央導入部50被供應至處理空間S內之氣體的流量與從周邊導入部52被供應至處理空間S內之氣體的流量係設定為大致相同的流量。
又,蝕刻裝置10例如圖1所示,係具有包含處理器及記憶體等之控制部Cnt。控制部Cnt會依據記憶體內所儲存之配方等資料或程式來控制蝕刻裝置10的各部。
例如,控制部Cnt會控制蝕刻裝置10的各部以使其進行後述蝕刻方法。舉更詳細的例子來加以說明,控制部Cnt會對被處理體成膜出第2膜,該被處理體係具有處理對象膜、具有形成於處理對象膜上的複數凸部之層、以及會覆蓋複數凸部間所露出的處理對象膜及各凸部之第1膜。然後,控制部Cnt會在使第2膜殘留在第1膜當中覆蓋各凸部側面的部分之狀態下來蝕刻第2膜,藉此讓第1膜當中覆蓋各凸部的頂部之部分及覆蓋複數凸部間的處理對象膜之部分露出。然後,控制部Cnt會在使第2膜殘留在第1膜當中覆蓋各凸部側面的部分之狀態下來蝕刻第1膜,藉此讓各凸部的頂部及複數凸部間的處理對象膜露出。被處理體為例如晶圓W。有關控制部Cnt所實施之處理的細節將詳述於後。
[晶圓W的構造]
圖6係顯示一實施型態中的晶圓W構造一範例之剖面圖。如圖6所示,晶圓W係具有形成於基板201上之處理對象膜202,與形成於處理對象膜202上的複數凸部203a所構成之犧牲層203。又,晶圓W係具有會覆蓋複數凸部203a間所露出的處理對象膜202及各凸部203a之間隔膜205。
處理對象膜202為例如非晶矽、矽氧化物(SiO2)或矽氮化膜(SiN)。犧牲層203為例如有機膜、非晶矽、矽氧化物(SiO2)或矽氮化物(SiN)。犧牲層203係形成為具有會成為芯材(Mandrel)之複數凸部203a,複數凸部203a間係具有會讓處理對象膜202露出之開口部204。犧牲層203為具有複數凸部之層的一範例。
間隔膜205係藉由例如CVD(Chemical Vapor Deposition)或ALD(Atomic Layer Deposition)等而被成膜。間隔膜205為例如矽氧化物(SiO2)、矽氮化物(SiN)或碳(C)。在間隔膜205被成膜之際,構成間隔膜205之矽氧化物(SiO2)、矽氮化物(SiN)或碳(C)會均勻地沉積。其結果,間隔膜205便會覆蓋各凸部203a及開口部204處所露出的處理對 象膜202。間隔膜205為第1膜一範例。該圖6之晶圓W的構造會成為以下說明的蝕刻方法所被應用之最初的構造。
[蝕刻方法]
圖7係顯示一實施型態相關之蝕刻方法的處理流程一範例之流程圖。圖8A及圖8B係用以說明一實施型態相關之蝕刻方法的處理流程一範例之圖式。
如圖7所示,控制部Cnt在將晶圓W搬入腔室12內後,會對晶圓W成膜出內襯膜206(S11)。內襯膜206係藉由例如ALD而被成膜。內襯膜206為例如矽氧化物(SiO2)、矽氮化物(SiN)或碳(C)。在內襯膜206被成膜之際,構成內襯膜206之矽氧化物(SiO2)、矽氮化物(SiN)或碳(C)會均勻地沉積。內襯膜206為第2膜一範例。步驟S11為成膜工序一範例。
針對藉由ALD所進行內襯膜206的成膜工序來更加詳細地說明。此處,係使內襯膜206為矽氧化物(SiO2)。首先,控制部Cnt會控制排氣裝置30的真空幫浦來將腔室12內減壓。接著,控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥來將前驅體氣體供應至腔室12內。藉此,前驅體氣體的分子便會吸附在晶圓W的表面。
一實施型態中之前驅體氣體係使用例如含有矽元素且不含氧元素之氣體。具體而言,前驅體氣體可使用例如包含有機矽化合物之氣體,或包含無機矽化合物之氣體。
包含有機矽化合物之氣體係使用例如1價~3價的胺基矽烷系氣體。1價~3價的胺基矽烷系氣體係使用例如選自BTBAS(二(特丁胺基)矽烷)、BDMAS(雙(二甲基胺基)矽烷)、BDEAS(雙(二乙基胺基)矽烷)、DMAS(二甲基胺基矽烷)、DEAS(二乙基胺基)矽烷)、DPAS(二丙基胺基矽烷)、BAS(丁基胺基矽烷)、DIPAS(二異丙基胺基矽烷)、BEMAS(雙甲基胺基矽烷)及TDMAS(參(二甲基胺基)矽烷)當中之1種以上的氣體。又,包含有機矽化合物之氣體亦可使用例如TEOS(四乙氧基矽烷)所代表的矽烷氧化合物系氣體。
包含無機矽化合物之氣體係使用例如選自SiCl4氣體、SiF4氣體、Si2Cl6氣體及SiH2Cl2氣體當中之1種以上的氣體。此外,前驅體氣體亦可使用例如選自包含有機矽化合物之氣體及包含無機矽化合物之氣體所構成的群之1種以上的氣體。
接著,控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥,來將吹淨氣體供應至晶圓W上。藉此,被過剩地供應至晶圓W上之前驅體氣體的分子等便會因吹淨氣體而被去除。
接著,控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥,來將包含有內襯膜206的構成元素之反應氣體供應至腔室12內。
一實施型態中之反應氣體係使用例如含有氧元素且不含矽元素之氣體。具體而言,反應氣體係使用例如選自O2氣體、CO氣體、CO2氣體、O3氣體、H2O氣體當中之1種以上的氣體。
接著,控制部Cnt會控制微波產生器32來將微波供應至腔室12內。藉此,便會生成反應氣體的電漿,而生成例如氧自由基(O*)來作為活性基。然後,所生成之活性基會與吸附在晶圓W上之前驅體氣體的分子反應而形成矽氧化膜。
此外,使內襯膜206為矽氮化物(SiN)的情況,反應氣體係使用例如含有氮元素且不含矽元素之氣體。具體而言,反應氣體係使用例如選自NO氣體、N2O氣體、N2氣體、及NH3氣體當中之1種以上的氣體。此情況下,因電漿而作為活性基被生成的氮自由基(N*)與吸附在晶圓W上之前驅體氣體的分子便會反應而形成有矽氮化膜。
接著,控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥來將吹淨氣體供應至晶圓W上。藉此,被過剩地供應至晶圓W上之活性基或反應副生成物等便會因吹淨氣體而被去除。
控制部Cnt會以前驅體氣體的分子吸附、吹淨氣體的供應、反應氣體之活性基的生成及吹淨氣體的供應作為1個循環,並重複複數次該循環。其結果,如圖8A(b)所示般地,例如為矽氧化物(SiO2)之內襯膜206便會被成膜於間隔膜205上。此外,圖8A(a)係相當於圖6的晶圓W。
回到圖7的說明。控制部Cnt會在使內襯膜206殘留在間隔膜205當中覆蓋各凸部203a側面的部分之狀態下來蝕刻內襯膜206(S12)。其結果,如圖8A(c)所示般地,間隔膜205當中覆蓋各凸部203a的頂部之部分以及覆蓋複數凸部203a間的處理對象膜202之部分便會露出。此處,內襯膜206會因依內襯膜206及間隔膜205的膜種組合而不同之第1處理氣體的電漿而被蝕刻。第1處理氣體在例如內襯膜206為矽氧化物(SiO2)且間隔膜205為矽氮化物(SiN)或碳(C)之情況下,為Ar/CF4。又,第1處理氣體在例如內襯膜206為矽氮化物(SiN)且間隔膜205為矽氧化物(SiO2)或碳(C)之情況下,為Ar/CF4。又,第1處理氣體在例如內襯膜206為碳(C)且間隔膜205為矽氧化物(SiO2)或矽氮化物(SiN)之情況下,為N2/H2。步驟S12為第1蝕刻工序一範例。
關於第1蝕刻工序,舉更詳細的例子來加以說明。控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥,來將第1處理氣體導入至腔室12內,並控制微波產生器32來將微波供應至腔室12內。藉此,便會生成第1處理氣體的電漿,而藉由第1處理氣體的電漿來蝕刻內襯膜206。藉此,位在各凸部203a上方的內襯膜206便會被去除而使間隔膜205當中覆蓋各凸部203a的頂部之部分露出。進一步地,開口部204底面側的內襯膜206會被去除,而使間隔膜205當中位在開口部204的底面側之部分露出。
然後,控制部Cnt會在使內襯膜206殘留在間隔膜205當中覆蓋各凸部203a側面的部分之狀態下來蝕刻間隔膜205,藉此讓各凸部203a的頂部及凸部203a間的處理對象膜202露出(S13)。其結果,如圖8B(d)所示般地,各凸部203a的頂部便會露出,且處理對象膜202當中位在開口部204之部分會露出,並且,間隔膜205當中覆蓋各凸部203a側面的部分會被轉換為一對凸部205a、205b。此處,間隔膜205會因依間隔膜205及內襯膜206的膜種組合而不同之第2處理氣體的電漿而被蝕刻。第2處理氣體在例如間隔膜205為矽氧化物(SiO2)且內襯膜206為矽氮化物(SiN)或碳(C)之情況下,為Ar/C4F6。又,第2處理氣體在例如間隔膜205為矽氮化物(SiN)且內襯膜206為矽氧化物(SiO2)之情況下, 為Ar/CH3F/O2。又,第2處理氣體在例如間隔膜205為碳(C)且內襯膜206為矽氧化物(SiO2)或矽氮化物(SiN)之情況下,為N2/H2。步驟S13為第2蝕刻工序一範例。
關於第2蝕刻工序,舉更詳細的例子來加以說明。控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥,來將第2處理氣體導入至腔室12內,並控制微波產生器32來將微波供應至腔室12內。藉此,便會生成第2處理氣體的電漿,而藉由第2處理氣體的電漿來蝕刻間隔膜205。藉此,間隔膜205當中覆蓋各凸部203a的頂部之部分便會被去除而露出各凸部203a的頂部,且凸部203a間的間隔膜205會被去除而在開口部204中露出處理對象膜202。進一步地,間隔膜205當中覆蓋各凸部203a側面的部分會被轉換為挾置著各凸部203a之一對凸部205a、205b。
圖9係用以進一步地說明一實施型態中的第2蝕刻工序之圖式。圖9(a)為第1蝕刻工序後,亦即,進行內襯膜206的蝕刻(S12)後之晶圓W剖面圖。圖9(b)為第2蝕刻工序的後,亦即進行間隔膜205的蝕刻(S13)後之晶圓W剖面圖。
如圖9(b)所示,在進行第2蝕刻工序之際,為了使由間隔膜205當中覆蓋各凸部203a側面的部分所轉換之一對凸部205a、205b的肩部會因殘留的內襯膜206而自電漿被保護,控制部Cnt會以間隔膜205當中覆蓋各凸部203a側面的部分所殘留之內襯膜206的高度會成為各凸部203a的高度以上之方式來蝕刻間隔膜205。藉此,便可降低一對凸部205a、205b的肩部變圓之程度,來讓一對凸部205a、205b的肩部處之高度方向的厚度變厚。藉此,一對凸部205a、205b的剖面形狀便會成為左右對稱且相對於處理對象膜202為幾乎垂直的矩形形狀。其結果,一對凸部205a、205b便會具有在垂直方向上具有充分的厚度之遮罩功能。
此外,由保護一對凸部205a、205b的肩部之觀點來看,第2蝕刻工序中,間隔膜205相對於內襯膜206之選擇比較佳為A1/B1以上。其中,A1為內襯膜206被蝕刻後,間隔膜205當中覆蓋各凸部203a的頂 部之部分的膜厚。又,B1為內襯膜206被蝕刻後,間隔膜205當中覆蓋各凸部203a側面的部分所殘留之內襯膜206沿間隔膜205的該部分在假想面V中的膜厚。亦即,若使間隔膜205被蝕刻後一對凸部205a、205b中所殘留之內襯膜206在假想面V中的膜厚為B2時,則間隔膜205相對於內襯膜206之選擇比係以A1/(B1-B2)來表示。於是,當B2≧0成立的情況,被認為一對凸部205a、205b的肩部便會因內襯膜206而被保護。因此,第2蝕刻工序中,間隔膜205相對於內襯膜206之選擇比較佳為A1/B1以上。
回到圖7的說明。控制部Cnt會選擇性地去除間隔膜205被蝕刻後所露出之各凸部203a及所殘留之內襯膜206(S14)。其結果,如圖8B(e)所示般地,所露出之各凸部203a便會被選擇性地去除而形成有一對凸部205a、205b間的空間207。於是,所露出之各凸部203a及所殘留之內襯膜206便會因例如第3處理氣體的電漿而被去除。步驟S14為去除工序一範例。
例如去除工序中,控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥來將第3處理氣體導入至腔室12內,並控制微波產生器32來將微波供應至腔室12內。藉此,便會生成第3處理氣體的電漿,來去除所露出之各凸部203a及所殘留之內襯膜206。
然後,控制部Cnt會以殘留的間隔膜205作為遮罩來蝕刻處理對象膜202(S15)。其結果,如圖8B(f)所示般地,會以複數凸部205a、205b作為遮罩來蝕刻處理對象膜202。於是,處理對象膜202便會因例如第4處理氣體的電漿而被蝕刻。步驟S15為第3蝕刻工序一範例。
第3蝕刻工序中,控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥來將第4處理氣體導入至腔室12內,並控制微波產生器32來將微波供應至腔室12內。藉此,便會生成第4處理氣體的電漿,來蝕刻未受到複數凸部205a、205b的覆蓋之處理對象膜202。藉此,處理對象膜202便會形成有對應於開口部204之開口部208,且會形成有對應於一對凸部205a、205b間的空間207之開口部209。又,由於一對凸部205a、205b的剖面形狀為左右對稱,且相對於處理對象 膜202為幾乎垂直的矩形形狀,故侵入至開口部204之離子,與進入至一對凸部205a、205b間的空間207之離子便皆會成為相同程度的離子量,又,會幾乎垂直地朝處理對象膜202衝撞。其結果,若比較開口部208與開口部209,便可抑制剖面形狀的紊亂,並抑制寬度或深度的差異,來獲得均勻的蝕刻形狀。
如以上所述,一實施型態相關之蝕刻方法係包含有成膜工序、第1蝕刻工序及第2蝕刻工序。成膜工序會對被處理體成膜出內襯膜206,該被處理體係具有處理對象膜202、具有形成於處理對象膜202上的複數凸部203a之層、以及會覆蓋複數凸部203a間所露出的處理對象膜及各凸部203a之間隔膜205。第1蝕刻工序係使內襯膜206殘留在間隔膜205當中覆蓋各凸部203a側面的部分之狀態下來蝕刻內襯膜206。第2蝕刻工序係使內襯膜206殘留在間隔膜205當中覆蓋各凸部203a側面的部分之狀態下來蝕刻間隔膜205,藉此讓各凸部203a的頂部及凸部203a間的處理對象膜202露出。藉此,由間隔膜205當中覆蓋各凸部203a側面的部分所轉換之一對凸部205a、205b的肩部便會因所殘留之內襯膜206而自電漿被保護。其結果,相較於未進行成膜工序之方法,便可降低一對凸部205a、205b的肩部變圓之程度。換言之,可改善作為遮罩之間隔膜205的肩部形狀,來相對於處理對象膜202而在垂直方向上確保充分的遮罩厚度。其結果,便可提高在後續蝕刻中作為遮罩之功能,從而可獲得均勻的蝕刻形狀。
亦即,進行SAMP的情況,會有遮罩的肩部被蝕刻而變圓,便無法充分地確保作為遮罩之厚度的情況。相對於此,依據一實施型態,由於是在成膜出內襯膜206後,使內襯膜206殘留在間隔膜205當中覆蓋各凸部203a側面的部分之狀態下來進行第1蝕刻及第2蝕刻,故可改善肩部的形狀。
此外,因步驟S14之去除工序而殘留的間隔膜205,即複數凸部205a、205b會在步驟S15的第3蝕刻工序中相對於處理對象膜202的蝕刻而成為遮罩。本實施型態中,藉由增加各凸部205a及凸部205b的尺寸,且增加凸部205a、205b間之空間207的尺寸與開口部204的尺寸,便可 獲得更均勻的蝕刻形狀。因此,在步驟S13的第2蝕刻工序中,最好是不僅讓處理對象膜202當中位在開口部204的部分露出,且亦藉由進一步地進行蝕刻,如圖8B(d)所示般地相對於內襯膜206的處理對象膜202而僅以水平方向的厚度量來將圖8A(c)中覆蓋各凸部203a側面的部分所殘留之內襯膜206正下方位處的間隔膜205一部分過剩地進行蝕刻。
[其他實施型態]
以上,雖已針對一實施型態相關之蝕刻方法及蝕刻裝置加以說明,但所揭示之技術並未侷限於此。以下針對其他實施型態來加以說明。
例如一實施型態中,雖係在選擇性地去除間隔膜205被蝕刻後所露出之各凸部203a及所殘留之內襯膜206後,以所殘留之間隔膜205作為遮罩來蝕刻處理對象膜202,但所揭示之技術並未侷限於此。例如,亦可以間隔膜205被蝕刻後所露出之各凸部203a以及所殘留之間隔膜205及內襯膜206作為遮罩來蝕刻處理對象膜202。藉此,便可使用具有下述般的開口部204之遮罩,該開口部204在以各凸部203a作為遮罩來蝕刻處理對象膜時,會較各凸部203a間的空間尺寸而為更微細的尺寸。以下,參閱圖10、圖11A及圖11B,來針對以間隔膜205被蝕刻後所露出之各凸部203a以及所殘留之間隔膜205及內襯膜206作為遮罩來蝕刻處理對象膜202之範例加以說明。
圖10係顯示其他實施型態相關之蝕刻方法的處理流程一範例之流程圖。圖11A及圖11B係用以說明其他實施型態相關之蝕刻方法的處理流程一範例之圖式。圖10之步驟S21~S23係分別對應於圖7之步驟S11~S13。又,圖11A(a)~(c)係分別對應於圖8A(a)~(c)。又,圖11B(d)係對應於圖8B(d)。
如圖10所示,控制部Cnt會以間隔膜205被蝕刻後所露出之各凸部203a以及所殘留之間隔膜205及內襯膜206作為遮罩來蝕刻處理對象膜202(S24)。其結果,如圖11B(e)所示般地,便會以各凸部203a、挾置著各凸部203a之一對凸部205a、205b、以及一對凸部205a、205b中所殘留之內襯膜206作為1個遮罩圖案來蝕刻處理對象膜202。於是, 處理對象膜202便會因例如第5處理氣體的電漿而被蝕刻。步驟S24為第4蝕刻工序一範例。
第4蝕刻工序中,控制部Cnt會控制流量控制單元群FCG1及FCG2內的流量控制器及開閉閥來將第5處理氣體導入至腔室12內,並控制微波產生器32來將微波供應至腔室12內。藉此,便會生成第5處理氣體的電漿來蝕刻未受到包含有複數上述遮罩圖案之遮罩的覆蓋之處理對象膜202。藉此,處理對象膜202便會形成有對應於開口部204的開口部208。又,由於可降低一對凸部205a、205b的肩部變圓之程度,故一對凸部205a、205b的剖面形狀便會相對於處理對象膜202而為幾乎垂直的矩形形狀,且一對凸部205a、205b會相對於處理對象膜202而在垂直方向上具有充分的厚度。若遮罩的空間尺寸變得微細,則會因微加載效果而導致蝕刻率降低,且遮罩的選擇性亦有惡化之傾向。然而,由於遮罩係在垂直方向上具有充分的厚度,故即便是後續進行處理對象膜202的蝕刻,仍能夠在不會損及遮罩選擇性之情況下來進行蝕刻。
又,一實施型態中,雖係使用矽氧化物(SiO2)、矽氮化物(SiN)或碳(C)來作為間隔膜205或內襯膜206一範例而加以說明,但所揭示之技術並未侷限於此。例如,間隔膜205或內襯膜206亦可為碳化矽(SiC),或是矽氧化氮化物(SiON)或矽碳化氮化物(SiCN)般具有中間的組成或性質之膜。
又,一實施型態中,雖係以使用RLSA之微波電漿處理裝置作為蝕刻裝置10一範例來加以說明,但所揭示之技術並未侷限於此。只要是使用電漿來進行處理之裝置,則亦可將所揭示之技術應用於使用CCP(Capacitively Coupled Plasma)或ICP(Inductively Coupled Plasma)等其他方式的電漿處理裝置中。
又,一實施型態中,雖係以在1個蝕刻裝置10的1個腔室12內,將成膜工序、第1蝕刻工序、第2蝕刻工序、去除工序及第3蝕刻工序維持在真空狀態下來連續地進行之情況為例而加以說明,但所揭示之技術並未侷限於此。例如,亦可使用透過被保持為真空之搬送系統而連結的複數腔室來連續地進行該等工序。
又,一實施型態中,於間隔膜205上成膜出內襯膜206前,亦可包含在1個腔室12內相對於凸部來成膜出間隔膜205之工序,進一步地,相對於凸部來成膜出間隔膜205之工序前,亦可包含藉由使用遮罩之蝕刻來加工凸部之工序。
S11‧‧‧成膜出內襯膜
S12‧‧‧使內襯膜殘留在間隔膜當中覆蓋各凸部側面的部分之狀態下來蝕刻內襯膜
S13‧‧‧藉由蝕刻間隔膜來讓各凸部的頂部及複數凸部間的處理對象膜露出
S14‧‧‧選擇性地去除所露出之各凸部以及所殘留之內襯膜
S15‧‧‧以殘留的間隔膜作為遮罩來蝕刻處理對象膜

Claims (6)

  1. 一種蝕刻方法,包含以下工序:
    成膜工序,係對被處理體成膜出第2膜,該被處理體具有:處理對象膜、具有形成於該處理對象膜上的複數凸部之層、以及會覆蓋該複數凸部間所露出的該處理對象膜及各該凸部之第1膜;
    第1蝕刻工序,係使該第2膜殘留在該第1膜當中覆蓋各該凸部側面的部分之狀態下來蝕刻該第2膜;以及
    第2蝕刻工序,係使該第2膜殘留在該第1膜當中覆蓋各該凸部側面的部分之狀態下來蝕刻該第1膜,藉此讓各該凸部的頂部及該複數凸部間的該處理對象膜露出。
  2. 如申請專利範圍第1項之蝕刻方法,其中該第2蝕刻工序是以該第1膜當中覆蓋各該凸部側面的部分所殘留之該第2膜的高度會成為各該凸部的高度以上之方式來蝕刻該第1膜。
  3. 如申請專利範圍第1或2項之蝕刻方法,其中使該第2膜被蝕刻後,該第1膜當中覆蓋各該凸部的頂部之部分的膜厚為A1,而使該第2膜被蝕刻後,該第1膜當中覆蓋各該凸部側面的部分所殘留之該第2膜沿該第1膜的該部分之假想面中的膜厚為B1時,則該第2蝕刻工序中,該第1膜相對於該第2膜之選擇比為A1/B1以上。
  4. 如申請專利範圍第1至3項中任一項之蝕刻方法,其另包含以下工序:
    去除工序,係在該第1膜被蝕刻後,選擇性地去除所露出之各該凸部以及所殘留之該第2膜;以及
    第3蝕刻工序,係以所殘留之該第1膜作為遮罩來蝕刻該處理對象膜。
  5. 如申請專利範圍第1至3項中任一項之蝕刻方法,其另包含第4蝕刻工序,係在該第1膜被蝕刻後,以所露出之各該凸部以及所殘留之該第1膜及該第2膜作為遮罩來蝕刻該處理對象膜。
  6. 一種蝕刻裝置,具有:
    腔室,係被搬入有被處理體,該被處理體具有:處理對象膜、具有形成於該處理對象膜上的複數凸部之層、以及會覆蓋該複數凸部間所露出的該處理對象膜及各該凸部之第1膜;
    排氣部,係用以將該腔室內減壓;
    氣體供應部,係用以將處理氣體供應至該腔室內;
    控制部,係實施以下工序:成膜工序,係對該被處理體成膜出第2膜;第1蝕刻工序,係使該第2膜殘留在該第1膜當中覆蓋各該凸部側面的部分之狀態下來蝕刻該第2膜;以及第2蝕刻工序,係使該第2膜殘留在該第1膜當中覆蓋各該凸部側面的部分之狀態下來蝕刻該第1膜,藉此讓各該凸部的頂部及該複數凸部間的該處理對象膜露出。
TW108125539A 2018-07-23 2019-07-19 蝕刻方法及蝕刻裝置 TW202015115A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-137841 2018-07-23
JP2018137841A JP2020017569A (ja) 2018-07-23 2018-07-23 エッチング方法及びエッチング装置

Publications (1)

Publication Number Publication Date
TW202015115A true TW202015115A (zh) 2020-04-16

Family

ID=69180986

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125539A TW202015115A (zh) 2018-07-23 2019-07-19 蝕刻方法及蝕刻裝置

Country Status (6)

Country Link
US (1) US11462407B2 (zh)
JP (1) JP2020017569A (zh)
KR (1) KR20210033442A (zh)
CN (1) CN111819665A (zh)
TW (1) TW202015115A (zh)
WO (1) WO2020022045A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115513025A (zh) * 2021-06-23 2022-12-23 北京鲁汶半导体科技有限公司 一种等离子刻蚀机的激励射频系统

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
JP5236983B2 (ja) 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法
JP2009152243A (ja) * 2007-12-18 2009-07-09 Toshiba Corp 半導体装置の製造方法
CN102428544B (zh) * 2009-05-20 2014-10-29 株式会社东芝 凹凸图案形成方法
JP5632240B2 (ja) * 2010-08-31 2014-11-26 東京エレクトロン株式会社 微細パターンの形成方法
JP2012178378A (ja) 2011-02-25 2012-09-13 Tokyo Electron Ltd 半導体装置の製造方法
WO2013008878A1 (ja) * 2011-07-13 2013-01-17 東京エレクトロン株式会社 エッチング方法及び装置
US8431461B1 (en) * 2011-12-16 2013-04-30 Lam Research Corporation Silicon nitride dry trim without top pulldown
JP6096438B2 (ja) * 2012-08-27 2017-03-15 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9269590B2 (en) * 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation

Also Published As

Publication number Publication date
JP2020017569A (ja) 2020-01-30
CN111819665A (zh) 2020-10-23
KR20210033442A (ko) 2021-03-26
US11462407B2 (en) 2022-10-04
US20210057220A1 (en) 2021-02-25
WO2020022045A1 (ja) 2020-01-30

Similar Documents

Publication Publication Date Title
US20200251328A1 (en) Method of topology-selective film formation of silicon oxide
US9627221B1 (en) Continuous process incorporating atomic layer etching
KR102024983B1 (ko) 성막 방법
KR20170098189A (ko) 트렌치들의 측벽들 또는 평탄 표면들 상에 선택적으로 실리콘 질화물 막을 형성하는 방법
TW201324663A (zh) 用於改良之沉積均勻性的前驅物分配特性
CN111712924B (zh) 空气间隙形成工艺
US20190214228A1 (en) Radical assisted cure of dielectric films
TWI721227B (zh) 成膜裝置及成膜方法
TW201839849A (zh) 具有選擇性阻隔層的結構
US20210025060A1 (en) Apparatus for processing substrate
TW202020204A (zh) 矽氮化膜之成膜方法及成膜裝置
US10692726B2 (en) Method for processing workpiece
WO2013187429A1 (ja) プラズマエッチング方法及びプラズマ処理装置
US11594418B2 (en) Etching method and etching apparatus
US20160017495A1 (en) Plasma-enhanced and radical-based cvd of porous carbon-doped oxide films assisted by radical curing
TW202015115A (zh) 蝕刻方法及蝕刻裝置
TWI797134B (zh) 電漿處理方法及電漿處理裝置
JP7357528B2 (ja) エッチング方法及びエッチング装置
TWI774754B (zh) 自對準觸點與閘極處理流程
US9305795B2 (en) Plasma processing method
JP6680190B2 (ja) 成膜装置
US20230120214A1 (en) Method for controlling wet etch rate (wer) selectivity
KR20230062783A (ko) 기판의 에지에서 재료를 선택적으로 제거하는 방법 및 시스템
JP2023535388A (ja) ホウ素がドープされたシリコン材料を利用した集積プロセス
KR20220036859A (ko) 성막 방법