CN106373875B - 半导体部件及其制造方法 - Google Patents

半导体部件及其制造方法 Download PDF

Info

Publication number
CN106373875B
CN106373875B CN201610550338.3A CN201610550338A CN106373875B CN 106373875 B CN106373875 B CN 106373875B CN 201610550338 A CN201610550338 A CN 201610550338A CN 106373875 B CN106373875 B CN 106373875B
Authority
CN
China
Prior art keywords
metal gate
layer
metal
reducing gas
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610550338.3A
Other languages
English (en)
Other versions
CN106373875A (zh
Inventor
巫柏奇
张家玮
李荣瑞
张雅岚
赵益承
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106373875A publication Critical patent/CN106373875A/zh
Application granted granted Critical
Publication of CN106373875B publication Critical patent/CN106373875B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明涉及一种用于制造半导体部件的方法,包括在衬底上形成层间介电(ILD)层,在层间介电层中形成沟槽,在沟槽中形成金属栅极,去除金属栅极的从ILD层突出的部分,使还原性气体与金属栅极反应以及去除金属栅极的顶部。

Description

半导体部件及其制造方法
优先权声明和交叉引用
本申请要求于2015年7月20日提交的美国临时专利申请第62/194,736号的优先权,其结合于此作为参考。
技术领域
本发明总体涉及半导体领域,更具体地,涉及半导体部件及其制造方法。
背景技术
半导体集成电路(IC)产业已经历了指数型发展。IC材料和设计中的技术进步已经产生了数代IC,其中每一代IC比上一代IC都具有更小且更复杂的电路。更小的部件尺寸是诸如鳍式场效应晶体管(FinFET)的多栅极器件的使用。被称为FinFET是因为在从衬底处延伸的“鳍”上和周围形成了栅极。正如该术语在本发明中的应用,FinFET器件是任何基于鳍的多栅极晶体管。FinFET器件可以允许缩小器件的栅极宽度而同时提供位于包括沟道区域的鳍的侧部和/或顶部上的栅极。在一些IC设计中,由于技术节点缩小而实现的另一个进步是用金属栅电极替换通常的多晶硅栅电极,以提高器件性能,同时部件尺寸减小。用于形成金属栅电极的一种方法是“后栅极”或“替换栅极”方法,其中伪栅极(通常是多晶硅)被金属栅极替代。在工艺中随后提供金属栅极可以避免在工艺中功函金属的稳定性的问题。
发明内容
根据本发明的一个方面,提供了一种用于制造半导体部件的方法,包括:在衬底上形成层间介电(ILD)层;在所述层间介电层中形成沟槽;在所述沟槽中形成金属栅极;去除所述金属栅极的从所述ILD层处突出的部分;使还原性气体与所述金属栅极反应;以及去除所述金属栅极的顶部。
优选地,去除所述金属栅极的从所述ILD层处突出的部分包括执行CMP工艺。
优选地,CMP研磨剂中的混悬剂在所述CMP工艺期间被扩散至所述金属栅极中,以及所述还原性气体还原扩散至所述金属栅极的所述混悬剂。
优选地,所述混悬剂包括碳、氯和它们的组合的化合物。
优选地,所述还原性气体具有还原碳的能力。
优选地,所述还原性气体包括氢。
优选地,所述还原气包括二氮烯。
优选地,该方法还包括:当所述还原性气体与所述金属栅极反应时,加热所述衬底。
优选地,去除所述金属栅极的所述部分包括回蚀刻所述金属栅极。
根据本发明的另一方面,提供了一种用于制造FinFet器件的方法,包括:在衬底上形成层间介电(ILD)层;在所述层间介电层中形成沟槽;在所述沟槽中形成功函数金属层和金属栅极,其中,所述功函数金属层在所述沟槽和所述金属栅极之间;在所述金属栅极的相对两侧形成漏极区域和源极区域;通过CMP工艺去除所述金属栅极的和所述功函数金属层的从所述ILD层处突出的部分;使还原性气体与所述金属栅极反应;去除所述金属栅极的顶部和所述功函数金属层的顶部;在所述金属栅极和所述功函数金属层上形成介电层。
优选地,所述金属栅极由Al、W、Co、Cu或它们的组合构成,以及所述还原性气体不能够氧化所述金属栅极。
优选地,CMP研磨剂中的混悬剂在所述CMP工艺期间被扩散到所述金属栅极中,以及所述还原性气体能够还原所述混悬剂。
优选地,CMP研磨剂中的混悬剂在所述CMP工艺期间被扩散到所述金属栅极中,以及所述还原性气体能够还原所述混悬剂。
优选地,所述还原性气体包括氢。
优选地,所述还原性气体包括二氮烯。
优选地,去除所述金属栅极的顶部和所述功函数金属层的顶部包括执行回蚀刻工艺。
优选地,在去除所述金属栅极的所述顶部之后执行去除所述功函数金属层的所述顶部。
优选地,用于去除所述金属栅极的蚀刻剂与用于去除所述功函数金属层的蚀刻剂不同。
根据本发明的又一方面,提供了一种半导体部件,包括:层间介电层,具有沟槽;金属栅极,在所述沟槽中形成;功函数金属层,形成在所述金属栅极和所述沟槽之间,其中,所述金属栅极的高度比所述功函数金属层的高度大;以及层间介电层,形成在所述金属栅极和所述功函数金属层上。
优选地,所述介电层和所述ILD层的顶面基本上平齐。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以最佳地理解本发明的各方面。应该强调的是,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚地讨论,各个部件的尺寸可以任意地增加或减少。
图1是根据本发明的一些实施例的FinFET器件的实施例的立体图。
图2A至图2J示出了根据本发明的一些实施例的形成FinFET器件的方法的不同步骤,其中图2A至图2E是立体图并且图2F至图2J是截面图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题不同特征的不同实施例或实例。以下描述组件和布置的具体实例以简化本发明。当然,这些仅仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触而形成的实施例,并且也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个实例中重复参考标号和/或字符。该重复是出于简明和清楚的目的,而其本身并未指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在...之下”、“在...下方”、“下部”、“在...之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且本文使用的空间相对描述符可以同样地作相应的解释。
本发明总体涉及诸如FinFET器件的半导体部件,以及一种制造FinFET器件或器件的部分的方法。随着部件尺寸继续减小,一直存在用高k栅极电介质和金属栅电极替代栅极氧化物和多晶硅栅极以改进器件性能的期望。应用栅极替换(后栅极)方法以解决对金属材料的高温度工艺的忧虑。然而,提出了在诸如金属栅极FinFET的器件中提供合适的应力和/或栅极电阻的挑战。例如,对栅极施加的低应力和/或高栅极电阻可以造成器件的性能下降。因此,需要平衡诸如金属栅极FinFET的器件中的应力和/或栅极电阻,使得栅极泄露电流和/或功函数可以被改善。
图1是根据本发明的一些实施例的FinFET的器件的实施例的立体图。FinFET器件100包括衬底102。在一些实施例中,衬底102包括块状硅衬底。衬底102可以是呈晶体结构的硅。在其他实施例中,衬底102包括其他元素半导体,诸如锗,或化合物半导体,诸如碳化硅、砷化镓、砷化铟和磷化铟。在其他一些实施例中,衬底102包括绝缘体上硅(SOI)衬底。可以通过注氧隔离、晶圆接合和/或其他适当方法来制造SOI衬底。
FinFET器件100还包括从衬底102延伸的鳍结构104和106(例如,Si鳍)。在一些实施例中,鳍结构104和106可选择包括锗。可以通过使用诸如光刻和蚀刻的合适的工艺来制造鳍结构104和106。在一些实施例中,使用干蚀刻或等离子体工艺从衬底102处蚀刻鳍结构104和106。浅沟槽隔离(STI)结构108围绕鳍结构104和106。STI结构108可以包括任何合适的绝缘材料。应当理解,虽然示出了两个鳍结构,但以类似的方式可以形成额外的平行的鳍。
FinFET器件100还包括栅极结构110。栅极结构110在鳍结构104和106的中心部分上形成。在一些实施例中,在鳍结构上方形成多个栅极结构。栅极结构110包括栅极介电层和栅电极。应当理解,也可以存在许多其他层,例如,覆盖层、界面层、间隔件元件和/或其他合适的部件。在一些实施例中,栅极介电层可以包括诸如二氧化硅的界面层。栅极介电层还可以包括其他介电材料,诸如氮化硅、氮氧化硅、具有高介电常数(高k)的介电质或它们的组合。高k介电材料的实例包括氧化铪、氧化锆、氧化铝、二氧化铪-氧化铝合金、硅氧化铪、氮氧化铪硅、氧化铪钽、氧化铪钛、氧化铪锆或它们的组合。栅电极可以包括多晶硅和/或包括诸如TiN、TaN、NiSi、CoSi、Mo、Cu、W、Al、Co的金属化合物的金属,和/或其他合适的导电材料。可以以后栅极工艺(或栅极替换工艺)形成栅电极,这将在下文中进行说明。
鳍结构104和106包括被栅极结构110环绕的沟道区112。可以掺杂鳍结构104和106以提供用于n型FinFET(NMOS器件)或p型FinFET(PMOS器件)的合适的沟道。可以使用诸如离子注入、扩散、退火和/或其他适用的工艺来掺杂鳍结构104和106。鳍结构104和106包括与FinFET器件100关联的源极区114和漏极区116。源极区域114和漏极区域116可以包括用于NMOS器件的外延(epi)硅(Si)或外延碳化硅(SiC)和用于PMOS器件的外延硅-锗(SiGe)和外延锗(Ge)。FinFET器件100可以是包括在微处理器、存储单元(例如,SRAM)和/或其他集成电路中的器件。
图2A至图2J示出了根据本发明的一些实施例的形成FinFET器件的方法的不同步骤,其中图2A至图2E是立体图而图2F至图2J是截面图。在图2A中,提供半导体衬底。半导体衬底可以是包含硅的衬底200,同时多个鳍结构在第一方向上延伸。之后,形成绝缘层204以填充各鳍结构202之间的间隙的下部以作为STI。绝缘层204的材料可以为但不限制于氧化硅。形成绝缘层204的方法包括在衬底200上沉积绝缘材料层并且覆盖鳍结构202,可任意执行平坦化工艺以使绝缘层204平整,然后执行回蚀刻工艺直到暴露鳍结构202的上部。鳍结构202可以包括源极区域、漏极区域和连接源极区域和漏极区域的沟道区域。
参照图2B,在衬底200上共形形成覆盖鳍结构202的界面层206。界面层206包括氧化硅、氮化硅和氮氧化硅。通过诸如原子层沉积(ALD)工艺、化学汽相沉积(CVD)工艺、物理汽相沉积(PVD)工艺、溅射沉积工艺的沉积工艺形成界面层206。应当注意,通过沉积工艺而不是热氧化处理来形成界面层206。不会发生由于热氧化处理而造成硅损耗,从而在形成界面层206的步骤期间器件鳍102的形状不变形。如图2B所示,沿着每个鳍102的表面共形形成界面层206。在本实施例中,由于界面层206是通过沉积工艺形成的而不消耗任何硅,因此在形成界面层206之后,鳍结构202的形状保持良好的限定。
之后,在界面层206上顺序形成伪栅极材料层208和掩模层210。伪栅极材料层208包括多晶硅。掩模层210包括氧化硅、氮化硅、氮氧化硅或它们的组合。伪栅极材料层208和掩模层210均可以通过诸如ALD工艺、CVD工艺、PVD工艺或溅射沉积工艺的沉积工艺来形成。在图2B中,为说明的目的提供单个的掩模层210,但是本申请并不限制于此。在另一个实施例中,掩模层210可以是包括例如下部的氮化硅层和上部的氮化硅层的多层结构。
参考图2C,图案化掩模层210、伪栅极材料层208和界面层206以形成堆叠结构212(包括在衬底200上顺序形成的界面层206、伪栅极材料层208和掩模层210)。堆叠结构212横跨鳍结构202并且在与第一方向不同的第二方向上延伸。在一些实施例中,第二方向垂直于第一方向。图案化工艺包括执行光刻工艺和蚀刻工艺。
参照图2D,在堆叠结构212旁边形成间隔件214。形成间隔件214的方法包括在衬底200上形成氧化硅层然后执行各向异性蚀刻工艺以去除氧化硅层的部分。然后在间隔件214旁边的衬底200中形成源极和漏极区域(见图1)。之后,在衬底200上顺序形成接触蚀刻停止层(CESL)216和层间介电(ILD)218以覆盖堆叠结构212。CESL 216包括氮化硅。ILD层218包括氧化硅、氮化硅、氮氧化硅、碳化硅、低介电常数介电材料或它们的组合。可以通过诸如ALD工艺、CVD工艺、PVD工艺或溅射沉积工艺的沉积工艺来形成CESL216和ILD层218的每一个。之后,去除ILD层218的部分和CESL216的部分以暴露堆叠结构212的顶部。去除步骤包括执行CMP工艺。
参考图2E,去除堆叠结构212以在ILD层218中形成沟槽220。去除步骤包括执行回蚀刻工艺。注意,由于界面层206在去除堆叠结构212的步骤期间被去除,所以界面层206可以被视为牺牲层。
参考图2F,至少在沟槽220的表面上顺序形成另一界面层222和高k介电层224。界面层222包括氧化硅、氮化硅或氮氧化硅。通过诸如ALD工艺、CVD工艺、PVD工艺和溅射沉积工艺的沉积工艺形成界面层222。应当注意,界面层222通过沉积工艺而不是热氧化处理形成。不发生由于热氧化处理而造成硅损耗,从而在形成界面层222的步骤期间,鳍结构202(见图2A)的形状不变形。沿着每个鳍202的表面共形形成界面层222。在一些实施例中,由于界面层222是通过沉积工艺形成的而不消耗任何硅,在形成界面层222之后,鳍结构202的形状保持良好的限定。
高k介电材料224包括具有高介电常数的高k材料。高k材料可以为金属氧化物,诸如稀土金属氧化物。高k材料可以选自由二氧化铪(HfO2)、氧化铪硅(HfSiO4)、氮氧化铪硅(HfSiON)、氧化铝(Al2O3)、氧化镧(La2O3)、五氧化二钽(Ta2O5)、氧化钇(Y2O3)、氧化锆(ZrO2)、钡锶钛氧化物(SrTiO3)、氧化锆硅(ZrSiO4)、氧化铪锆(HfZrO4)、钽酸锶铋(SrBi2Ta2O9,SBT)、锆钛酸铅((BaxSr1-xTiO3,PZT)和钛酸钡锶(BaxSr1-xTiO3,BST)组成的组,其中x在0和1之间。通过诸如ALD工艺、CVD工艺、PVD工艺或溅射沉积工艺的沉积工艺来形成高k介电层224。
之后,在衬底200上形成复合金属层236以至少填充沟槽220(在图2E中示出)以作为堆叠金属栅极。复合金属层236形成为填充沟槽220。复合金属层236从底向上地包括阻挡层240、功函金属层242和金属栅极244。
阻挡层240形成在高k介电层224上并且覆盖高k介电层224。阻挡层240可以为金属层,诸如氮化钛(TiN)层。阻挡层240可以通过诸如ALD工艺、CVD工艺、PVD工艺或溅射沉积工艺的沉积工艺而形成。阻挡层240也可以通过诸如利用氨(NH3)和四氯化钛(TiCl4)之间的热化学汽相沉积的氮化工艺而形成。在一些实施例中,可通过诸如使用氨气的氮化工艺来进一步处理阻挡层240的表面。可选地,在一些实施例中,金属化后退火(PMA)工艺可以用于提高高k介电层224和阻挡层240的密度和质量。
功函数金属层242形成在阻挡层240上。在一些实施例中,FinFET器件可以为NMOS器件,并且功函数金属层242可以由,例如,Ti、Ag、Al、TiAlMo、Ta、TaN、TiAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr或它们的组合构成。可选地,FinFET器件可以为PMOS器件,并且功函数金属层242可以由例如,TiN、W、Ta、Ni、Pt、Ru、Mo、Al、WN或它们的组合的构成。功函数金属层242可以通过诸如ALD工艺、CVD工艺、PVD工艺或溅射沉积工艺的沉积工艺来形成。
金属栅极244形成在功函数层242上方。金属栅极244通过ALD、PVD、CVD或其他的工艺沉积在功函数金属层242上。金属栅极244由例如AL、W、Co、Cu构成。
在图2F中,去除从ILD层218处突出的界面层222、高k介电层224和复合金属层236(例如,沟槽220外的部分)。因此得到了FinFET器件,其中高k介电层224作为栅极介电层,而复合层236作为金属栅电极。通过CMP工艺可执行去除工艺。
在FinFet器件的表面被平坦之后,需要去除界面层222、高k介电层224和金属栅极244中位于顶部的部分以在它们的上方形成介电盖层(cap)。介电盖层用于将金属栅极244与位于其上的导电电路间隔开。去除步骤包括使用回蚀刻工艺。
然而,CMP研磨液是包括混悬剂(诸如二氧化硅、氧化铝、二氧化铈研磨剂、氧化剂、聚合物、pH稳定剂、分散剂和表面活性剂)的水溶液。在CMP工艺期间,这些混悬剂可以被扩散到金属栅极244。金属栅极244中受到扩散的部分可以发生回蚀刻失效,其可以影响SAC窗口和产量。
本发明还包括对金属栅极244施加处理,使得由扩散造成的回蚀刻失效可以被阻止。处理包括对金属栅极244施加还原气体,如图2G至2J所示,其为根据本发明的一些实施例的半导体部件的局部截面图。
在图2G中,还原性气体250被引入工艺室中。还原性气体250与金属栅极244接触。还原性气体250包括还原性气体,其可以与金属栅极244中的扩散的混悬剂反应。还原性气体250具有减小扩散的混悬剂(使它们获得电子)的能力。还原性气体250被称为是还原剂或还原性的。还原性气体250将电子转移至扩散的混悬剂,从而其本身被氧化。同时,扩散的混悬剂被说成是氧化的或氧化性的,因此可以被称为氧化剂。即,扩散的混悬剂从还原性气体250中去除电子,从而其本身被还原。
在一些实施例中,扩散的混悬剂可以是有机化合物或扩散的混悬剂可以包括氯。相应地,还原性气体250具有还原碳和氯的能力。还原能力涉及物质的氧化还原电位(也被称为还原电位,氧化/还原电位)。氧化还原电位是是化学物质获取电子从而被还原的倾向的量度。还原电位被以伏特(V)或毫伏测量。每一种物质都有其内在的氧化还原电位;电位越为正电位(positive),物质对电子的吸引力越强,被还原的趋势越大。
然而,金属栅极244也由能够被氧化的材料制成。因此,还原性气体250的氧化还原电位需要被考虑并且不能太高以防当扩散的混悬剂被还原时,金属栅极244同时被氧化。在一些实施例中,金属栅极244可以由例如Al、W、Co或Cu制成。还原性气体250的氧化还原电位比碳或氯的化合物的氧化还原电位高,但是不比Al、W、Co或Cu的高。
在一些实施例中,还原性气体250是包括氢与稀薄气体(诸如N2/Ar/He的惰性气体)的气体。还原气体可以包括H2N2。还原性气体250可以包括氢气与催化剂的使用。这些催化还原反应主要用于还原碳-碳键。
进一步加热工艺室和衬底200。在一些实施例中,可以利用光学技术(钨丝灯、激光器)、热辐射技术或通过使用感受器(susceptor)和射频(RF)感应加热来加热工艺室。还原性气体250在工艺室中也被加热并且成为高温还原性气体250,并且高温还原性气体250具有约200℃至约400℃的范围中的温度。氢,包括氢原子和氢离子,可以渗透到金属栅极244中。氢能够还原扩散的混悬剂,诸如碳和/或氯的化合物,使得来自CMP研磨剂的扩散的混悬剂不会影响施加至金属栅极244的后续工艺。在某些实施例中,可以在还原工艺后,可以在金属栅极244中观察到碳(诸如有机化合物)的还原和/或氯的还原(例如氯的化合物,诸如Al(Cl)x或W(Cl)y)。
参考图2H,去除金属栅极244的顶部。去除步骤包括执行回蚀刻工艺。在一些实施例中,去除步骤包括将蚀刻剂进入工艺室并且使蚀刻剂与金属栅极244反应,其中蚀刻剂在金属栅极244和功函数金属层242之间具有高选择性。可以基于蚀刻剂的化学组成、所需的蚀刻速率和其他材料及工艺参数来选择温度。在一些实施例中,在回蚀刻工艺使用的蚀刻剂是氟基蚀刻剂,如氟化氮(NF)、氟(F2)、四氟甲烷(CFO)、四氟乙烯(C2F4)、六氟乙烷(C2F6)、全氟丙烷(C3F8)、六氟化硫(SF)等。在一些实施例中,当使用氟基蚀刻剂时,衬底被加热至约300℃和450℃之间的范围。其他的温度范围可用于不同类型的蚀刻剂。可以将蚀刻剂从远程等离子体发生器引入工艺室中以提供活性物质(包括自由基、离子和/或高能量分子)。蚀刻剂的流速通常取决于室的尺寸、刻蚀速率、刻蚀均匀性等参数。
参考图2I,在回蚀刻金属栅极244之后,去除功函数金属层242的顶部。蚀刻工艺可以施用与蚀刻金属栅极244的不同的蚀刻剂。用于去除功函数金属层242的蚀刻剂也在功函数金属层242和金属栅极244之间具有高选择性。在一些实施例中,蚀刻剂可以是氯基蚀刻剂(诸如氯(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)和/或三氯化硼(BCL3))、含溴的气体(诸如溴化氢(HBr)和/或三溴甲烷(CHBr3))、含碘的气体、其他合适的气体和/或等离子体和/或它们的组合。
金属栅极244和功函数金属层242被两步蚀刻以获得高的蚀刻选择性。金属栅极244从功函数金属层242处突出。即,金属栅极244的高度大于功函数金属层242的高度。在一些实施例中,金属栅极244和功函数金属层242的顶面之间的距离在约1nm至约5nm的范围中。
参考图2J,介电层260被形成在金属栅极244和功函数金属层242上并且覆盖金属栅极244和功函数金属层242。介电层260填充沟槽220。介电层260包括氧化硅、氮化硅、氮氧化硅、碳化硅、低介电常数的介电材料或它们的组合。介电层260可以通过诸如ALD工艺、CVD工艺、PVD工艺或溅射沉积工艺的沉积工艺而形成。之后,去除介电层260的从沟槽220(图2E示出)处突出的部分。去除步骤包括执行CMP工艺。介电层260、ILD层218和CESL 216的顶面基本上平齐,使得例如FinFet器件的半导体部件可以提供用于在其上形成电路的平坦的顶面,并且介电层260被用于隔离电路和金属栅极244。
通过将还原性气体引入到工艺室中,可以还原在金属栅极中的扩散的混悬剂,使得对于该金属栅极的回蚀刻工艺可以成功地进行。
根据本发明的一些实施例,用于制造半导体部件的方法包括在衬底上形成层间节点(ILD)层、在层间介电层中形成沟槽、在沟槽中形成金属栅极、去除从ILD层突出的金属栅极的部分、使还原气体与金属栅极反应和去除金属栅极的顶部。
根据本发明的一些实施例,用于制造FinFET器件的方法包括在衬底上形成层间介电(ILD)层、在层间介电层中形成沟槽、在沟槽中形成功函数金属层和金属栅极(其中功函数金属层在沟槽和金属栅极之间)、在金属栅极的相对侧形成漏极区域和源极区域、通过CMP工艺去除从ILD层突出的金属栅极和功函数金属层的部分、使还原气与金属栅极反应、去除金属栅极的顶部和功函数金属层的顶部以及在金属栅极和功函数金属层上形成介电层。
根据本发明的一些实施例,半导体部件包括具有沟槽、在沟槽中形成的金属栅极、在金属栅极和沟槽之间形成的功函数金属层(其中金属栅极的高度大于功函数金属层的高度)和在金属栅极和功函数金属层上形成的介电层。
上面概述了若干实施例的部件、使得本领域技术人员可以更好地理解本公开的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍的实施例相同的目的和/或实现相同优点的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (19)

1.一种用于制造半导体部件的方法,包括:
在衬底上形成层间介电(ILD)层;
在所述层间介电层中形成沟槽;
在所述沟槽中形成金属栅极;
利用CMP工艺去除所述金属栅极的从所述层间介电层处突出的部分,来自所述CMP工艺的CMP研磨剂中的混悬剂在所述CMP工艺期间扩散至所述金属栅极中;
使还原性气体与所述金属栅极中的扩散的混悬剂反应,所述还原性气体的氧化还原电位小于所述金属栅极的材料的氧化还原电位并且大于扩散至所述金属栅极中的混悬剂的氧化还原电位;以及
去除所述金属栅极的顶部,在所述金属栅极上直接形成介电层。
2.根据权利要求1所述方法,其中所述还原性气体还原扩散至所述金属栅极的所述混悬剂。
3.根据权利要求2所述的方法,其中,所述混悬剂包括碳、氯和它们的组合的化合物。
4.根据权利要求2所述的方法,其中,所述还原性气体具有还原碳的能力。
5.根据权利要求4所述的方法,其中,所述还原性气体包括氢。
6.根据权利要求4所述的方法,其中,所述还原性气体包括二氮烯。
7.根据权利要求1所述的方法,还包括:当所述还原性气体与所述金属栅极反应时,加热所述衬底。
8.根据权利要求1所述的方法,其中,去除所述金属栅极的所述顶部包括回蚀刻所述金属栅极。
9.一种用于制造FinFet器件的方法,包括:
在衬底上形成层间介电(ILD)层;
在所述层间介电层中形成沟槽;
在所述沟槽中形成功函数金属层和金属栅极,其中,所述功函数金属层在所述沟槽和所述金属栅极之间;
在所述金属栅极的相对两侧形成漏极区域和源极区域;
通过CMP工艺去除所述金属栅极的和所述功函数金属层的从所述层间介电层处突出的部分,来自所述CMP工艺的CMP研磨剂中的混悬剂在所述CMP工艺期间扩散至所述金属栅极中;
使还原性气体与所述金属栅极中的扩散的混悬剂反应,所述还原性气体的氧化还原电位小于所述金属栅极的材料的氧化还原电位并且大于扩散至所述金属栅极中的混悬剂的氧化还原电位;
去除所述金属栅极的顶部和所述功函数金属层的顶部;
在所述金属栅极和所述功函数金属层上直接形成介电层。
10.根据权利要求9所述的方法,其中,所述金属栅极由Al、W、Co、Cu或它们的组合构成,以及所述还原性气体不能够氧化所述金属栅极。
11.根据权利要求10所述的方法,其中,所述还原性气体能够还原所述混悬剂。
12.根据权利要求9所述的方法,其中,所述还原性气体能够还原所述混悬剂。
13.根据权利要求9所述的方法,其中,所述还原性气体包括氢。
14.根据权利要求9所述的方法,其中,所述还原性气体包括二氮烯。
15.根据权利要求9所述的方法,其中,去除所述金属栅极的顶部和所述功函数金属层的顶部包括执行回蚀刻工艺。
16.根据权利要求15所述的方法,其中,在去除所述金属栅极的所述顶部之后执行去除所述功函数金属层的所述顶部。
17.根据权利要求15所述的方法,其中,用于去除所述金属栅极的蚀刻剂与用于去除所述功函数金属层的蚀刻剂不同。
18.一种半导体部件,包括:
层间介电层,具有沟槽;
金属栅极,在所述沟槽中形成,其中,所述金属栅极包括导电材料和扩散在所述导电材料中的悬浮剂,其中,所述悬浮剂为被还原后的悬浮剂,所述金属栅极的导电材料的氧化还原电位大于扩散在所述导电材料中的被还原之前的悬浮剂的氧化还原电位;
功函数金属层,形成在所述金属栅极和所述沟槽之间,其中,所述金属栅极的高度比所述功函数金属层的高度大;以及
介电层,直接形成在所述金属栅极和所述功函数金属层上。
19.根据权利要求18所述的半导体部件,其中,所述介电层和所述层间介电层的顶面平齐。
CN201610550338.3A 2015-07-20 2016-07-13 半导体部件及其制造方法 Active CN106373875B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562194736P 2015-07-20 2015-07-20
US62/194,736 2015-07-20
US14/831,409 US10090396B2 (en) 2015-07-20 2015-08-20 Method for fabricating metal gate devices and resulting structures
US14/831,409 2015-08-20

Publications (2)

Publication Number Publication Date
CN106373875A CN106373875A (zh) 2017-02-01
CN106373875B true CN106373875B (zh) 2020-01-14

Family

ID=57582940

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610550338.3A Active CN106373875B (zh) 2015-07-20 2016-07-13 半导体部件及其制造方法

Country Status (5)

Country Link
US (4) US10090396B2 (zh)
KR (1) KR101770476B1 (zh)
CN (1) CN106373875B (zh)
DE (1) DE102015114644B3 (zh)
TW (1) TWI685024B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10090396B2 (en) * 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
US10269982B2 (en) * 2016-07-08 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metallic channel device and manufacturing method thereof
TWI700799B (zh) * 2016-10-04 2020-08-01 聯華電子股份有限公司 導電結構、包含導電結構之佈局結構以及導電結構之製作方法
US10546785B2 (en) 2017-03-09 2020-01-28 International Business Machines Corporation Method to recess cobalt for gate metal application
CN108630807B (zh) * 2017-03-23 2022-01-28 中芯国际集成电路制造(上海)有限公司 半导体器件、制造方法以及存储器
WO2018195417A1 (en) * 2017-04-20 2018-10-25 Micromaterials Llc Self-aligned contact and gate process flow
US10283417B1 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10236220B1 (en) 2017-08-31 2019-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
KR102394925B1 (ko) 2017-11-16 2022-05-04 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN108847393B (zh) * 2018-05-24 2021-04-30 上海集成电路研发中心有限公司 鳍式场效应晶体管结构的形成方法
CN109148302B (zh) * 2018-07-23 2021-07-20 上海集成电路研发中心有限公司 一种全包围栅极鳍式场效应晶体管的制作方法
CN109216200B (zh) * 2018-07-27 2021-05-18 上海集成电路研发中心有限公司 一种基于体硅全包围栅极SOI FinFET的制作方法
US11257923B2 (en) * 2018-10-12 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Tuning threshold voltage in field-effect transistors

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102983097A (zh) * 2011-09-05 2013-03-20 中芯国际集成电路制造(上海)有限公司 制作金属栅极的金属塞方法
CN103794505A (zh) * 2012-10-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4057803B2 (ja) 2001-09-11 2008-03-05 株式会社東芝 半導体装置の製造方法
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7144783B2 (en) 2004-04-30 2006-12-05 Intel Corporation Reducing gate dielectric material to form a metal gate electrode extension
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
JP2011124472A (ja) 2009-12-14 2011-06-23 Toshiba Corp 半導体装置の製造方法
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
WO2013054652A1 (ja) * 2011-10-11 2013-04-18 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法、および記録媒体
US9111904B2 (en) * 2011-11-29 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate breakdown voltage improvement for group III-nitride on a silicon substrate
US8772168B2 (en) 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US20130187236A1 (en) * 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
CN103632976B (zh) 2012-08-29 2016-06-29 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US8896030B2 (en) * 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
TW201503264A (zh) 2013-07-12 2015-01-16 United Microelectronics Corp 具有金屬閘極之半導體元件及其製作方法
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9257348B2 (en) 2013-08-06 2016-02-09 Globalfoundries Inc. Methods of forming replacement gate structures for transistors and the resulting devices
US9012319B1 (en) 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
US9269585B2 (en) 2014-01-10 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning metal gate surface
US9583485B2 (en) * 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US10090396B2 (en) * 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102983097A (zh) * 2011-09-05 2013-03-20 中芯国际集成电路制造(上海)有限公司 制作金属栅极的金属塞方法
CN103794505A (zh) * 2012-10-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Also Published As

Publication number Publication date
KR101770476B1 (ko) 2017-08-22
TW201705232A (zh) 2017-02-01
DE102015114644B3 (de) 2017-01-05
US20200343357A1 (en) 2020-10-29
US11764280B2 (en) 2023-09-19
TWI685024B (zh) 2020-02-11
US10714587B2 (en) 2020-07-14
US20170025514A1 (en) 2017-01-26
CN106373875A (zh) 2017-02-01
US10090396B2 (en) 2018-10-02
US20230395689A1 (en) 2023-12-07
KR20170010706A (ko) 2017-02-01
US20180337246A1 (en) 2018-11-22

Similar Documents

Publication Publication Date Title
CN106373875B (zh) 半导体部件及其制造方法
TW201732904A (zh) 半導體裝置之製造方法
US11387346B2 (en) Gate patterning process for multi-gate devices
US20190164840A1 (en) Etch profile control of polysilicon structures of semiconductor devices
US11107904B2 (en) Inner spacer formation in multi-gate transistors
US11855214B2 (en) Inner spacers for gate-all-around semiconductor devices
US20210028294A1 (en) Method for forming a low-k spacer
CN112582400A (zh) 半导体器件和形成半导体器件的方法
TW202234526A (zh) 半導體裝置及其形成方法
CN112242356A (zh) 半导体装置及其制造方法
US10741672B2 (en) Gate structure for semiconductor device
CN113851426A (zh) 半导体结构及其方法
KR102113246B1 (ko) 게이트 구조물 및 방법
CN108231680B (zh) 半导体装置的制造方法
TWI771022B (zh) 半導體元件及其製造方法
US20230047598A1 (en) Semiconductor devices and methods of manufacture
US20240055300A1 (en) Method for manufacturing semiconductor device
TW202401825A (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant