CN105934819A - 用于3d闪存应用的电介质-金属堆叠 - Google Patents

用于3d闪存应用的电介质-金属堆叠 Download PDF

Info

Publication number
CN105934819A
CN105934819A CN201580005195.1A CN201580005195A CN105934819A CN 105934819 A CN105934819 A CN 105934819A CN 201580005195 A CN201580005195 A CN 201580005195A CN 105934819 A CN105934819 A CN 105934819A
Authority
CN
China
Prior art keywords
layer
forming
tungsten
adhesive layer
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580005195.1A
Other languages
English (en)
Other versions
CN105934819B (zh
Inventor
韩新海
N·拉贾戈帕兰
S·H·洪
金柏涵
M·斯利尼瓦萨恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105934819A publication Critical patent/CN105934819A/zh
Application granted granted Critical
Publication of CN105934819B publication Critical patent/CN105934819B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

提供一种用于形成在3D存储器装置中使用的膜层的堆叠的方法。所述方法开始于在沉积反应器的处理腔室中提供基板。然后,将适合于形成电介质层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内,从而在所述基板上形成电介质层。然后,将适合于形成金属层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内,从而在所述电介质层上形成金属层。然后,将适合于形成金属氮化物粘合层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内,从而在所述金属层上形成金属氮化物粘合层。然后重复此序列以形成期望的层数。

Description

用于3D闪存应用的电介质-金属堆叠
技术领域
本发明的方面总体上涉及用于形成在3D闪存中使用的堆叠的方法。
背景技术
计算机存储器装置的制造商不断追求具有以较低成本的增加容量的更小的几何形状。为此目的,存储器单元的部件通常在彼此的顶部上层叠以创建3D堆叠。
通常,这些3D存储器堆叠的形成由电介质材料与导电材料的交替层开始,其中导电材料层充当在闪存的存储器单元中使用的晶体管的控制栅。可将多晶硅用作导电材料,但使用多晶硅存在诸如多晶硅凹陷、硅化和金属的湿式剥落之类的问题。
或者,这些3D存储器堆叠的形成可由电介质层与电荷陷捕层之间的交替(诸如,氧化物层,接着是氮化物层)开始。可将氮化硅用作电荷陷捕材料,但是将氮化硅用作堆叠中的初始层中的一层呈现出氮化物去除的缺陷控制问题。随后,通常必须将金属(诸如钨)或金属化合物(诸如氮化钛)沉积在形成在堆叠中的孔或通道中,并且这些金属或金属化合物的保形沉积存在进一步的挑战。
因此,存在对用于3D存储器结构的改进的方法的需求。
发明内容
在一个实施例中,提供一种用于形成在3D存储器装置中使用的膜层的堆叠的方法,所述方法包含以下步骤序列:在沉积反应器的处理腔室中提供基板;将适合于形成电介质层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述基板上形成电介质层;将适合于形成金属层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述电介质层上形成金属层;将适合于形成金属氮化物粘合层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述金属层上形成金属氮化物粘合层。然后重复此序列以形成电介质层、接着是金属层、接着是金属氮化物粘合层的堆叠。
在另一实施例中,提供一种用于形成在3D存储器装置中使用的膜层的堆叠的方法,所述方法包含以下步骤序列:在沉积反应器的处理腔室内提供基板;将适合于形成氧化物层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述基板上形成氧化物层;将适合于形成钨层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述氧化物层上形成钨层;将适合于形成氮化钨粘合层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述钨层上形成氮化钨粘合层。然后重复此序列以形成氧化物层、接着是钨层、接着是氮化钨粘合层的堆叠。在形成在3D存储器装置中使用的膜层的堆叠后,进一步的工艺可包括:在所述堆叠中形成多个孔,以及在所述多个孔中保形地形成具有比氧化硅更大的介电常数的材料。
附图说明
因此,为了能详细地理解本发明的上述特征的方式,可参考多个实施例得出以上简要概述的本发明的更具体的描述,并且在附图中示出实施例中的一些。然而应注意,所附附图仅示出本发明的典型实施例,并且因此不应视为本发明范围的限制,因为本发明可允许其他等效的实施例。
图1是根据本发明的一个实施例的工艺流程图。
图2描绘根据本发明的一个实施例来处理的基板。
图3是根据本发明的另一实施例的工艺流程图。
图4A至图4C描绘根据本发明的另一实施例来处理的基板。
为了促进理解,在可能的情况下,已使用相同的附图标记来表示附图共有的相同元件。应设想,在一个实施例中公开的元件可有益地用于其他实施例而无需详述。
具体实施方式
本发明描述用于形成在3D闪存中使用的堆叠的方法,所述方法提供工艺整合和层粘合方面的优势。
图1是概括用于形成具有多个膜层的结构的工艺100的工艺流程图。图2是根据工艺100制成的装置的横截面视图。在描述工艺100中,将参考图2的特征。在102处,将基板200提供至沉积反应器的处理腔室。沉积反应器可使用化学气相沉积(CVD)或原子层沉积(ALD)且两种沉积方法的任一者可以是等离子体增强的。基板通常为结构构件,该结构构件提供一表面,在所述表面上可形成装置。因此,基板可以是半导体材料(诸如硅、锗或化合物半导体)、电介质材料(诸如玻璃、陶瓷或塑料)或导电材料(诸如铝或另一种金属)。
在104处,将适合于形成电介质层212的工艺气体供应至沉积反应器的处理腔室。电介质层212可以是氧化物、氮化物、氮氧化物、磷硅玻璃(phosphosilicate glass;PSG)、硼硅玻璃(borosilicate glass;BSG)或磷硼硅玻璃(phosphoborosilicate glass;PBSG)。可在CVD或等离子体增强化学气相沉积(PECVD)工艺中使用常规的四乙氧基硅烷(tetraethoxysilane;TEOS)/氧气混合物制成氧化物层。可使用常规的有机硅烷/氨气混合物制成氮化物层。可使用TEOS/氧气混合物并对此混合物添加一些氨来制成氮氧化物层。可使用上文涉及的TEOS/氧气混合物并对此气体混合物添加诸如磷化氢和硼烷(或其低聚物)之类的磷和/或硼掺杂物前体来制成PSG、BSG和PBSG。在106处,在基板200上形成电介质层212。
在一个实施例中,使用PECVD工艺来形成电介质层212,但也可使用其他的沉积方法。可使在104处供应至处理腔室的气体混合物在被供应至处理腔室后电离成等离子体。PECVD工艺可使用高射频(RF)功率和低射频功率。可在约50瓦特至约2000瓦特的功率水平处以约1MHz与约20MHz之间(诸如约13.56MHz)的频率供应高频RF功率。可在约30瓦特至约1000瓦特的功率水平处以约200kHz与约1MHz之间(例如,约350kHz)的频率供应低频RF功率。可在约0瓦特与约200瓦特之间(例如,约50瓦特)的功率水平处将DC或RF偏压施加至基板。RF功率可以是周期性的或脉冲的并且可以是连续的或不连续的。在电介质层212的沉积期间,可将沉积反应器的处理腔室维持在约300℃与约650℃之间(例如,450℃)的温度以及约0.5托与10托之间(例如,5托)的压力下。在电介质层212的沉积期间位于喷淋头与基板支撑基座之间的间隔可介于约200密耳与约1000密耳之间(例如,400密耳)。
在108处,将适合于形成金属层214的工艺气体供应至沉积反应器的处理腔室。金属层214可以是钨、铝、镍、钴、钽或钛、此类金属的合金或此类金属的硅化物的层。适合于形成金属层214的工艺气体可包括:氢气;金属化合物,所述金属化合物包括来自由钨、铝、镍、钴、钽或钛构成的金属的组的金属;以及惰性气体(例如,氩气或氦气)。在110处,在电介质层212上形成金属层214。可使用的金属前体包括诸如金属烷基之类的有机金属化合物,其中一个示例为三甲基铝。也可将无机金属化合物(诸如氯化物和氟化物,例如氟化钨(WF6)、氯化钛(TiCl4)等)用作金属前体。
在一个实施例中,使用PECVD工艺来形成金属层214,但可使用其他的沉积方法。在将气体混合物供应至处理腔室后,可使在108处提供至处理腔室的气体混合物电离成等离子体。PECVD工艺可使用高频RF功率和低频RF功率。可在约100瓦特至约1500瓦特的功率水平处以约1MHz与约20MHz之间(例如,约13.56MHz)的频率提供高频RF功率。可在约0瓦特至约500瓦特的功率水平处以约200kHz与约1MHz之间(例如,约350kHz)的频率提供低频RF功率。可在约0瓦特与约200瓦特之间(例如,约50瓦特)的功率水平处将DC或RF偏压施加至基板。RF功率可以是周期性的或脉冲的并且可以是连续的或不连续的。在金属层214的沉积期间,可将处理腔室维持在约300℃与约650℃之间(例如,450℃)的温度以及约0.5托与50托之间(例如,30托)的压力下。在金属层214的沉积期间位于喷淋头与基板支撑基座之间的间隔可介于约200密耳与约1000密耳之间(例如,400密耳)。
在112处,将适合于形成金属氮化物粘合层216的工艺气体供应至沉积反应器的处理腔室。金属氮化物粘合层216可以是氮化钨层。适合于形成金属氮化物粘合层216的工艺气体可包括氨气、氮气、惰性气体以及金属化合物,所述金属化合物包括来自由钨、铝、镍、钴、钽或钛构成的组的金属。在114处,在金属层214上形成金属氮化物粘合层216。
在一个实施例中,使用PECVD来形成金属氮化物粘合层216,但可使用其他的沉积方法。在将气体混合物供应至处理腔室后,可使在112处提供至处理腔室的气体混合物电离成等离子体。PECVD工艺可使用高频RF功率和低频RF功率。可在约45瓦特至约2500瓦特的功率水平处以约1MHz与约20MHz之间(例如,约13.56MHz)的频率提供高频RF功率。可在约20瓦特至约500瓦特的功率水平处以约200kHz与约1MHz之间(例如,约350kHz)的频率提供低频RF功率。可在约0瓦特与约200瓦特之间(例如,约50瓦特)的功率水平处将DC或RF偏压施加至基板。RF功率可以是周期性的或脉冲的并且可以是连续的或不连续的。在金属氮化物粘合层216的沉积期间,可将处理腔室维持在约300℃与约650℃之间(例如,450℃)的温度以及约0.5托与10托之间(例如,7托)的压力下。在金属氮化物粘合层216的沉积期间位于喷淋头与基板支撑基座之间的间隔可介于约200密耳与约800密耳之间(例如,300密耳)。
在另一实施例中,可将氮气添加至所沉积的金属层的表面以形成金属氮化物粘合层,诸如层216。可使用具有原位或远程等离子体生成的工艺(诸如等离子体氮化)。可通过本文所描述的方法原位地激活或电离氮前体(诸如氨气或氮气)或氮前体的混合物,并且可使活性氮前体物质与金属层214接触以形成金属氮化物层216。或者,可在分开的腔室中激活或电离氮前体,并且可使活性氮物质流入处理腔室内且与金属层214接触以形成金属氮化物层216。
金属层214可比金属氮化物粘合层216更厚。金属层214的厚度与金属氮化物粘合层216的厚度的比率可介于约5:1与约100:1之间(例如,25:1)。
在某些实施例中,可在每一电介质层与金属层之间添加金属氮化物粘合层。可根据与关于在114处形成金属氮化物粘合层在上文描述的工艺类似的工艺形成金属氮化物粘合层。为了在沉积金属层之前将金属氮化物粘合层添加至电介质层,可通过在112和114处所涉及的操作在电介质层上沉积金属氮化物层。因此,在一些实施例中,可在操作106与108之间重复操作112和114。
如决定操作116所示,在达到期望的层数以前,可重复形成电介质层212、金属层214和金属氮化物粘合层216的工艺。在图2的装置中,已通过重复此工艺形成附加的层。在金属氮化物粘合层216上形成第二电介质层222,在第二电介质层222上形成第二金属层224,并且在第二金属层224上形成第二金属氮化物粘合层226。在第二金属氮化物粘合层226上形成第三电介质层232,在第三电介质层232上形成第三金属层234,并且在第三金属层234上形成第三金属氮化物粘合层236。应理解,实际上也可提供附加的层。也应理解,可以以与图2所示的次序相反的次序提供图2的装置中所示的层。可通过将工艺重复任何期望的次数来提供任何层数。在一些实施例中,可重复此工艺超过50次或超过100次。
若需要,可在任何时间净化沉积反应器的处理腔室以提供沉积化学品之间的清洁转换。例如,可在106处形成电介质层与108处供应用于金属层的工艺气体之间净化处理腔室。也可在110处形成金属层与112处供应用于金属氮化物粘合层的工艺气体之间净化处理腔室。也可在114处形成金属氮化物粘合层与104处供应用于电介质层的工艺气体之间净化处理腔室。在一些实施例中,净化处理腔室可有利于提供诸如图2所示的装置中自一个层至另一层的急剧转换。或者,可使用两个或更多个处理腔室。处理腔室可专用于形成特定层。
在116处达到期望的层数后,工艺能可选地继续以在堆叠中形成多个孔。可钻凿或冲压这些孔。或者,可使用切割技术,诸如干式蚀刻。在形成多个孔后,可通过在孔内部沉积保形的高k电介质材料来创建多个通道。高k电介质材料包括具有比氧化硅更大的介电常数的材料,诸如金属氧化物。氧化铝和氧化铪通常使用的高k栅极电介质材料。
图3是概括用于形成具有多个膜层的结构的工艺300的工艺流程图。图4A是根据工艺300制造的装置的横截面视图。在描述工艺300中,将参考图4A至图4C的特征。在302处,将基板200提供至沉积反应器的处理腔室。沉积反应器可使用CVD或ALD并且两种沉积方法的任一者可以是等离子体增强的。基板通常为提供一表面的结构构件,可在所述表面上形成装置。因此,基板可以是半导体材料(诸如硅、锗或化合物半导体)、电介质材料(诸如玻璃、陶瓷或塑料)或导电材料(诸如铝或另一种金属)。
在304处,将适合于形成氧化物层412的工艺气体供应至沉积反应器的处理腔室。氧化物层412可以是氧化硅。若氧化硅为选定的氧化物层,那么适合于形成氧化硅层的工艺气体包括硅前体和氧化剂。硅前体可包括硅烷或TEOS中的至少一者。硅烷的流动速率可自约100sccm至约2000sccm,例如介于约500与600sccm之间。TEOS的流动速率可自约500mgm至约10000mgm,例如为3000mgm。氧化剂可包括氧或一氧化二氮中的至少一者。氧化剂的流动速率可自约500sccm至约20000sccm。在306处,在基板200上形成氧化物层412。
在一个实施例中,使用PECVD工艺来形成氧化物层412,但也可使用其他的沉积方法。可使在304处供应至处理腔室的气体混合物在被供应至处理腔室后电离成等离子体。PECVD工艺可使用高RF功率和低RF功率。可在约50瓦特至约2000瓦特的功率水平处以约1MHz与约20MHz之间(诸如约13.56MHz)的频率供应高频RF功率。可在约30瓦特至约1000瓦特的功率水平处以约200kHz与约1MHz之间(例如,约350kHz)的频率供应低频RF功率。可在约0瓦特与约200瓦特之间(例如,约50瓦特)的功率水平处将DC或RF偏压施加至基板。RF功率可以是周期性的或脉冲的并且可以是连续的或不连续的。在氧化物层412的沉积期间,可将沉积反应器的工艺腔室维持在约300℃与约650℃之间(例如,450℃)的温度以及约0.5托与10托之间(例如,5托)的压力下。在氧化物层412的沉积期间位于喷淋头与基板支撑基座之间的间隔可介于约200密耳与约1000密耳之间(例如,400密耳)。
在308处,将适合于形成钨层414的工艺气体供应至沉积反应器的处理腔室。适合于形成钨层的工艺气体可包括六氟化钨(WF6)、氢气和惰性气体(例如,氩气或氦气)。WF6的流动速率可自约100sccm至约2000sccm,例如介于约500与600sccm之间。氢气的流动速率可自约500sccm至约20000sccm,例如为7500sccm。在310处,在氧化物层412上形成钨层414。
在一个实施例中,使用PECVD工艺来形成钨层414,但可使用其他的沉积方法。在将气体混合物供应至处理腔室后,可使在308处提供至处理腔室的气体混合物电离成等离子体。PECVD工艺可使用高频RF功率和低频RF功率。可在约100瓦特至约1500瓦特的功率水平处以约1MHz与约20MHz之间(例如,约13.56MHz)的频率提供高频RF功率。可在约0瓦特至约500瓦特的功率水平处以约200kHz与约1MHz之间(例如,约350kHz)的频率提供低频RF功率。可在约0瓦特与约200瓦特之间(例如,约50瓦特)的功率水平处将DC或RF偏压施加至基板。RF功率可以是周期性的或脉冲的并且可以是连续的或不连续的。在钨层414的沉积期间,可将沉积反应器的处理腔室维持在约300℃与约650℃之间(例如,450℃)的温度以及约0.5托与50托之间(例如,30托)的压力下。在钨层414的沉积期间位于喷淋头与基板支撑基座之间的间隔可介于约200密耳与约1000密耳之间(例如,400密耳)。
在312处,将适合于形成氮化钨粘合层416的工艺气体供应至沉积反应器的处理腔室。适合于形成氮化钨粘合层416的工艺气体可包括六氟化钨、氨气、氢气、氮气和惰性气体(例如,氩气或氦气)。WF6的流动速率可自约100sccm至约2000sccm,例如介于约500与600sccm之间。氢气的流动速率可自约0sccm至约20000sccm,例如为7500sccm。氨气的流动速率可自约100sccm至约10000sccm,例如为4500sccm。氮气和/或氦气和/或氩气的流动速率可自约1000sccm至约20000sccm,例如为12500sccm。在314处,在钨层414上形成氮化钨粘合层416。
在一个实施例中,使用PECVD工艺来形成氮化钨粘合层416,但也可使用其他的沉积方法。可使在312处供应至处理腔室的气体混合物在被供应至处理腔室后电离成等离子体。PECVD工艺可使用高RF功率和低RF功率。可在约45瓦特至约2500瓦特的功率位水平以约1MHz与约20MHz之间(诸如约13.56MHz)的频率供应高频RF功率。可在约20瓦特至约500瓦特的功率水平处以约200kHz与约1MHz之间(例如,约350kHz)的频率提供低频RF功率。可在约0瓦特与约200瓦特之间(例如,约50瓦特)的功率水平处将DC或RF偏压施加至基板。RF功率可以是周期性的或脉冲的并且可以是连续的或不连续的。在氮化钨粘合层416的沉积期间,可将沉积反应器的处理腔室维持在约300℃与约650℃之间(例如,450℃)的温度以及约0.5托与10托之间(例如,7托)的压力下。在钨层414的沉积期间位于喷淋头与基板支撑基座之间的间隔可介于约200密耳与约800密耳之间(例如,300密耳)。
钨层414可比氮化钨粘合层416更厚。钨层414的厚度与氮化钨粘合层416的厚度的比率可介于约5:1与约100:1之间(例如,25:1)。
在某些实施例中,可在每一氧化物与钨层之间添加氮化钨粘合层。可根据与关于在314处形成氮化钨粘合层在上文描述的工艺类似的工艺形成氮化钨粘合层。因此,在一些实施例中,可在306和308处的操作之间重复312和314处的操作。
如决定操作316所示,在达到期望的层数以前,可重复形成氧化物层412、钨层414和氮化钨粘合层416的工艺。在图4A的装置中,已通过重复此工艺形成附加的层。在氮化钨粘合层416上形成第二氧化物层422,在第二氧化物层422上形成第二钨层424,以及然后在第二钨层424上形成第二氮化钨粘合层426。在第二氮化钨粘合层426上形成第三氧化物层432,在第三氧化物层432上形成第三钨层434,以及然后在第三钨层434上形成第三氮化钨粘合层436。应理解,实际上也可提供附加的层。也应理解,可以以与图4A所示的次序相反的次序提供图4A的装置中所示的层。可通过将此工艺重复任何期望的次数来提供任何层数。在一些实施例中,可重复此工艺超过50次或超过100次。
若需要,可在任何时间净化沉积反应器的处理腔室以提供沉积化学品之间的清洁转换。例如,可在306处形成氧化物层与308处供应用于钨层的工艺气体之间净化处理腔室。也可在310处形成钨层与312处供应用于氮化钨粘合层的工艺气体之间净化处理腔室。也可在314处形成氮化钨粘合层与304处供应用于氧化物层的工艺气体之间净化处理腔室。在一些实施例中,净化处理腔室可有利于提供诸如图4A所示的装置中自一个层至另一层的急剧转换。或者,可使用两个或更多个处理腔室。这些处理腔室可专用于形成特定层。
在316处达到期望的层数后,工艺能可选地在318和320处继续。图4B和图4C对应于这些附加的工艺操作。在318处,在堆叠中制成多个孔407。可钻凿或冲压这些孔。或者,可使用切割技术,诸如干式蚀刻。在320处,通过在孔407内部沉积保形的高k电介质材料来创建多个通道409。高k电介质材料包括具有比氧化硅更大的介电常数的材料,诸如金属氧化物。氧化铝和氧化铪是通常用作高k栅极电介质材料。
可使用可从美国加利福尼亚州的圣克拉拉市的应用材料有限公司购买到的任意腔室来执行本文所描述的工艺。也可使用来自其他制造商的处理腔室。
尽管上述内容针对本发明的实施例,可设计出本发明的其他的和进一步的实施例而不脱离本发明的基本范围,并且本发明的范围由所附权利要求书确定。

Claims (15)

1.一种用于形成在3D存储器装置中使用的膜层的堆叠的方法,所述方法包括以下步骤:
在沉积反应器的处理腔室中提供基板;
将适合于形成电介质层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;
在所述基板上形成电介质层;
将适合于形成金属层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;
在所述基板上形成金属层;
将适合于形成金属氮化物粘合层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;
在所述电介质层与所述金属层之间形成金属氮化物粘合层;以及
重复以下步骤:将适合于形成电介质层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述基板上形成电介质层;将适合于形成金属层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述基板上形成金属层;将适合于形成金属氮化物粘合层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;以及在所述电介质层与所述金属层之间形成金属氮化物粘合层,以形成交替的电介质层与金属层的堆叠,所述堆叠在每一个电介质层与相邻的金属层之间具有金属氮化物层。
2.如权利要求1所述的方法,进一步包含以下步骤:
使适合于形成所述电介质层的所述一种或更多种工艺气体在所述沉积反应器的处理腔室内部电离成等离子体;
在将适合于形成所述金属层的所述一种或更多种气体供应至所述沉积反应器的处理腔室之后,使适合于形成所述金属层的所述一种或更多种工艺气体电离成等离子体;
在将适合于形成所述金属氮化物粘合层的所述一种或更多种气体供应至所述沉积反应器的处理腔室之后,使适合于形成所述金属氮化物粘合层的所述一种或更多种工艺气体电离成等离子体。
3.如权利要求1所述的方法,其中所述电介质层包含选自由以下各项构成的组的材料:氧化物、氮化物、氮氧化物、磷硅玻璃、硼硅玻璃、磷硼硅玻璃以及上述各项的衍生物。
4.如权利要求5所述的方法,其中适合于形成所述电介质层的所述一种或更多种工艺气体包含硅烷或四乙氧基硅烷中的至少一者以及氧气或一氧化二氮中的至少一者。
5.如权利要求1所述的方法,其中所述金属层包含选自由以下各项构成的组的材料:钨、铝、镍、钴、钽、钛、上述各项的硅化物、上述各项的合金以及上述各项的衍生物。
6.如权利要求5所述的方法,其中适合于形成所述金属层的所述一种或更多种工艺气体包含氢气、惰性气体以及金属化合物,所述金属化合物包括来自由钨、铝、镍、钴、钽或钛构成的组的金属。
7.如权利要求1所述的方法,其中适合于形成所述金属氮化物粘合层的所述一种或更多种工艺气体包含:氢气;氨气;氮气与在工艺条件下为惰性的稀释气体中的一者或更多者;以及金属化合物,所述金属化合物包括来自由钨、铝、镍、钴、钽或钛构成的组的金属。
8.如权利要求7所述的方法,其中所述金属层具有第一厚度,所述金属氮化物粘合层具有第二厚度,并且所述第一厚度与所述第二厚度的比率介于约5:1与约100:1之间。
9.一种用于形成在3D存储器装置中使用的膜层的堆叠的方法,所述方法包含以下步骤:
在沉积反应器的处理腔室中提供基板;
将适合于沉积氧化物层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;
在所述基板上形成氧化物层;
将适合于形成钨层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;
在所述氧化物层上形成钨层;
将适合于形成氮化钨粘合层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;
在所述钨层上形成氮化钨粘合层;以及
重复以下步骤:将适合于沉积氧化物层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述基板上形成所述氧化物层;将适合于形成钨层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;在所述氧化物层上形成所述钨层;将适合于形成氮化钨粘合层的一种或更多种工艺气体供应至所述沉积反应器的处理腔室内;以及在所述钨层上形成氮化钨粘合层,以形成交替的氧化物层与钨层的堆叠,所述堆叠在每一个氧化物层与相邻的钨层之间具有氮化钨层。
10.如权利要求9所述的方法,进一步包含以下步骤:
在将适合于形成所述氧化物层的所述一种或更多种气体供应至所述沉积反应器的处理腔室之后,使适合于形成所述氧化物层的所述一种或更多种工艺气体电离成等离子体;
在将适合于形成所述钨层的所述一种或更多种气体供应至所述沉积反应器的处理腔室之后,使适合于形成所述钨层的所述一种或更多种工艺气体电离成等离子体;
在将适合于形成所述氮化钨粘合层的所述一种或更多种气体供应至所述沉积反应器的处理腔室之后,使适合于形成所述氮化钨粘合层的所述一种或更多种工艺气体电离成等离子体。
11.如权利要求10所述的方法,进一步包含以下步骤:
在已形成所述氧化物层、钨层以及氮化钨粘合层中的全部层之后,在所述堆叠中形成多个孔;以及
在所述多个孔中保形地沉积高k电介质材料。
12.如权利要求9所述的方法,其中适合于形成所述氧化物层的所述一种或更多种工艺气体包含硅烷或四乙氧基硅烷中的至少一者以及氧气或一氧化二氮中的至少一者。
13.如权利要求9所述的方法,其中适合于形成所述钨层的所述一种或更多种工艺气体包含六氟化钨、氢气以及惰性气体。
14.如权利要求9所述的方法,其中适合于形成所述氮化钨粘合层的所述一种或更多种工艺气体包含:六氟化钨;氢气;氨气;以及氮气和在所述工艺条件下为惰性的稀释气体中的一者或更多者。
15.一种用于形成在3D存储器装置中使用的膜层的堆叠的方法,所述方法包含以下步骤:
在沉积反应器的处理腔室中提供基板;
电离供应至所述沉积反应器的处理腔室的工艺气体以形成氧化物层与钨层的一组交替层,其中在每一个氧化物层与钨层之间的界面处形成氮化钨粘合层。
CN201580005195.1A 2014-01-21 2015-01-06 用于3d闪存应用的电介质-金属堆叠 Active CN105934819B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461929794P 2014-01-21 2014-01-21
US61/929,794 2014-01-21
PCT/US2015/010313 WO2015112327A1 (en) 2014-01-21 2015-01-06 Dielectric-metal stack for 3d flash memory application

Publications (2)

Publication Number Publication Date
CN105934819A true CN105934819A (zh) 2016-09-07
CN105934819B CN105934819B (zh) 2019-04-26

Family

ID=53545432

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580005195.1A Active CN105934819B (zh) 2014-01-21 2015-01-06 用于3d闪存应用的电介质-金属堆叠

Country Status (6)

Country Link
US (2) US9972487B2 (zh)
JP (1) JP6800015B2 (zh)
KR (1) KR102275051B1 (zh)
CN (1) CN105934819B (zh)
TW (1) TWI646211B (zh)
WO (1) WO2015112327A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109786381A (zh) * 2017-11-13 2019-05-21 联华电子股份有限公司 存储器元件及其制作方法
CN109801872A (zh) * 2019-02-13 2019-05-24 长江存储科技有限责任公司 三维存储器及其形成方法
CN110678972A (zh) * 2017-06-05 2020-01-10 应用材料公司 降低字线电阻的方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105934819B (zh) * 2014-01-21 2019-04-26 应用材料公司 用于3d闪存应用的电介质-金属堆叠
CN109417022B (zh) 2016-06-28 2023-08-11 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
KR20180081989A (ko) * 2017-01-09 2018-07-18 삼성전자주식회사 메모리 장치 및 그것의 리프레시 방법
US10651196B1 (en) * 2018-11-08 2020-05-12 Sandisk Technologies Llc Three-dimensional multilevel device containing seamless unidirectional metal layer fill and method of making same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1490845A (zh) * 2002-09-19 2004-04-21 三星电子株式会社 半导体器件及其制造方法
CN1938786A (zh) * 2004-01-21 2007-03-28 桑迪士克股份有限公司 使用高k材料与栅极间编程的非易失性存储单元
CN101051610A (zh) * 2006-04-03 2007-10-10 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
CN101101925A (zh) * 2006-07-05 2008-01-09 海力士半导体有限公司 具有sonos结构的非易失性存储器及其制造方法
US20090096012A1 (en) * 2007-10-10 2009-04-16 Hynix Semiconductor Inc. Flash memory device and method of fabricating the same
CN101431105A (zh) * 2007-11-07 2009-05-13 海力士半导体有限公司 具有电荷俘获层的非易失性存储器及其制造方法
CN101540294A (zh) * 2008-03-21 2009-09-23 海力士半导体有限公司 制造具有界面阻挡层的半导体器件的方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5341016A (en) 1993-06-16 1994-08-23 Micron Semiconductor, Inc. Low resistance device element and interconnection structure
US6996151B1 (en) 1999-11-08 2006-02-07 Lucent Technologies Inc. Tin oxide adhesion layer for metal-dielectric mirrors
US20100075499A1 (en) * 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
KR20100048731A (ko) * 2008-10-31 2010-05-11 삼성전자주식회사 AlO 마스크를 이용한 반도체소자의 제조방법
JP5558695B2 (ja) * 2008-11-18 2014-07-23 株式会社東芝 不揮発性半導体記憶装置
US8258034B2 (en) * 2009-08-26 2012-09-04 Micron Technology, Inc. Charge-trap based memory
JP5121869B2 (ja) * 2010-03-23 2013-01-16 株式会社東芝 不揮発性半導体記憶装置の製造方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20120043518A1 (en) * 2010-08-18 2012-02-23 Applied Materials, Inc. Variable resistance memory element and fabrication methods
US8076250B1 (en) 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
US8824183B2 (en) * 2010-12-14 2014-09-02 Sandisk 3D Llc Non-volatile memory having 3D array of read/write elements with vertical bit lines and select devices and methods thereof
JP2012174866A (ja) * 2011-02-21 2012-09-10 Elpida Memory Inc 半導体装置およびその製造方法
JP5722180B2 (ja) * 2011-09-26 2015-05-20 株式会社日立製作所 不揮発性記憶装置
US8643142B2 (en) 2011-11-21 2014-02-04 Sandisk Technologies Inc. Passive devices for 3D non-volatile memory
US20130161629A1 (en) 2011-12-27 2013-06-27 Applied Materials, Inc. Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application
TW201408810A (zh) * 2012-07-12 2014-03-01 Applied Materials Inc 用於沉積貧氧金屬膜的方法
CN105934819B (zh) * 2014-01-21 2019-04-26 应用材料公司 用于3d闪存应用的电介质-金属堆叠
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
JP6360457B2 (ja) * 2015-04-08 2018-07-18 東芝メモリ株式会社 半導体装置及びその製造方法
US10361213B2 (en) * 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1490845A (zh) * 2002-09-19 2004-04-21 三星电子株式会社 半导体器件及其制造方法
CN1938786A (zh) * 2004-01-21 2007-03-28 桑迪士克股份有限公司 使用高k材料与栅极间编程的非易失性存储单元
CN101051610A (zh) * 2006-04-03 2007-10-10 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
CN101101925A (zh) * 2006-07-05 2008-01-09 海力士半导体有限公司 具有sonos结构的非易失性存储器及其制造方法
US20090096012A1 (en) * 2007-10-10 2009-04-16 Hynix Semiconductor Inc. Flash memory device and method of fabricating the same
CN101431105A (zh) * 2007-11-07 2009-05-13 海力士半导体有限公司 具有电荷俘获层的非易失性存储器及其制造方法
CN101540294A (zh) * 2008-03-21 2009-09-23 海力士半导体有限公司 制造具有界面阻挡层的半导体器件的方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110678972A (zh) * 2017-06-05 2020-01-10 应用材料公司 降低字线电阻的方法
CN109786381A (zh) * 2017-11-13 2019-05-21 联华电子股份有限公司 存储器元件及其制作方法
CN109786381B (zh) * 2017-11-13 2021-05-25 联华电子股份有限公司 存储器元件及其制作方法
CN109801872A (zh) * 2019-02-13 2019-05-24 长江存储科技有限责任公司 三维存储器及其形成方法

Also Published As

Publication number Publication date
US10475644B2 (en) 2019-11-12
WO2015112327A1 (en) 2015-07-30
TWI646211B (zh) 2019-01-01
KR20160107333A (ko) 2016-09-13
US9972487B2 (en) 2018-05-15
CN105934819B (zh) 2019-04-26
TW201534748A (zh) 2015-09-16
US20180247808A1 (en) 2018-08-30
JP2017510059A (ja) 2017-04-06
US20150206757A1 (en) 2015-07-23
JP6800015B2 (ja) 2020-12-16
KR102275051B1 (ko) 2021-07-07

Similar Documents

Publication Publication Date Title
CN105934819A (zh) 用于3d闪存应用的电介质-金属堆叠
KR102636173B1 (ko) 원자층 증착에 의해 기판 상에 전이 금속 니오븀 질화물막을 형성하기 위한 방법 및 관련 반도체 소자 구조물
TWI730987B (zh) NbMC層
US10651031B2 (en) Tantalum compound
TWI488290B (zh) 包括含碳電極之半導體元件及其製法
TWI488990B (zh) 使用鋁烴化合物之金屬碳化物膜的原子層沈積
CN104471689A (zh) 用于沉积贫氧金属膜的方法
TW202146687A (zh) 積體電路製造中使用的氮氧化鈦沉積的製程
KR102385821B1 (ko) 집적 회로 제조에 사용하기 위한 티타늄 나노적층체들의 퇴적
TWI655308B (zh) 沉積鈦鋁薄膜的製程
TW200411923A (en) In-situ formation of metal insulator metal capacitors
KR102489044B1 (ko) 균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들
JP2007013086A (ja) ナノ混合の誘電膜、それを有するキャパシタ及びその製造方法
Chang et al. Achieving atomistic control in materials processing by plasma–surface interactions
US11817320B2 (en) CVD based oxide-metal multi structure for 3D NAND memory devices
KR102538510B1 (ko) 얇은 산화하프늄 막들 중의 도펀트 농도의 튜닝성
TW200404911A (en) Metal organic chemical vapor deposition and atomic layer deposition of metal oxynitride and metal silicon oxynitride
CN105830210A (zh) 作为用于先进互连的介电封顶阻挡层的含金属膜
KR20220036866A (ko) 실리콘 산화물 증착 방법
Profijt Plasma-surface interaction in plasma-assisted atomic layer deposition
Rontu Atomic layer deposition in fabrication of micro-and nanodevices
KR20070114519A (ko) 캐패시터의 유전막 및 그 제조 방법과 이를 이용한 반도체소자의 캐패시터 및 그 제조 방법
TW202249067A (zh) 用於形成包含釩及氮的層之方法及系統
KR100951560B1 (ko) 캐패시터 및 그의 제조방법
CN107794515A (zh) 通过形成基于烃的超薄膜对层进行保护的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant