CN105390399A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN105390399A
CN105390399A CN201510526883.4A CN201510526883A CN105390399A CN 105390399 A CN105390399 A CN 105390399A CN 201510526883 A CN201510526883 A CN 201510526883A CN 105390399 A CN105390399 A CN 105390399A
Authority
CN
China
Prior art keywords
pattern
active patterns
district
substrate
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510526883.4A
Other languages
English (en)
Other versions
CN105390399B (zh
Inventor
白尚训
朴在浩
梁雪云
宋泰中
吴祥奎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020150014806A external-priority patent/KR102290460B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN105390399A publication Critical patent/CN105390399A/zh
Application granted granted Critical
Publication of CN105390399B publication Critical patent/CN105390399B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)

Abstract

提供了一种制造半导体装置的方法和一种半导体装置,所述半导体装置具有第一区、第二区和位于第一区和第二区之间的第三区,所述方法包括:形成分别从第一区和第二区中的基板突出的第一初始有源图案和第二初始有源图案;在基板上形成暴露第三区的掩模图案;利用掩模图案作为蚀刻掩模来执行第一蚀刻工艺以分别形成第一有源图案和第二有源图案;以及在基板上形成栅极结构。

Description

半导体装置及其制造方法
本专利申请要求于2014年8月25日提交的第62/041,473号美国临时专利申请和于2015年1月30日提交的第10-2015-0014806号韩国专利申请的优先权,这些申请的全部内容通过引用包含于此。
技术领域
本发明构思的代表性的实施例涉及半导体装置和制造该半导体装置的方法。具体地,涉及一种鳍式场效应晶体管和一种制造该鳍式场效应晶体管的方法。
背景技术
为了实现高度集成的半导体装置,必须形成精细图案。例如,每个图案应当形成为具有尽可能小的面积或占用面积(footprint),使得每一给定的区域可以设置越来越多的器件。具体地,应当以最小化多个图案中的相邻的图案之间的间隔与图案的宽度之和或图案的节距的方式来形成图案。然而,通常利用光刻来形成这样的图案。当半导体装置的设计规则已经变得大幅度地变小时,在可以获得的分辨率方面,光刻被拉伸到极限。因此,当半导体装置的图案的节距变得更精细以遵守较小的设计规则时,变得越来越难于形成图案。
发明内容
根据本发明构思,提供了制造半导体装置的方法的代表性实施例,所述方法包括:提供横跨所述装置的第一区、第二区和第三区的基板;在基板上形成第一初始有源图案和第二初始有源图案,使得第一初始有源图案和第二初始有源图案从基板突出,第一初始有源图案从第一区延伸到第三区中以与第三区中的基板叠置,第二初始有源图案从第二区延伸到第三区中,从而也与第三区中的基板叠置;在第一区和第二区中的基板上形成掩模图案,而不在第三区中形成掩模图案,从而使基板在第三区中暴露;利用掩模图案作为蚀刻掩模来执行包括蚀刻第一初始有源图案和第二初始有源图案的第一蚀刻工艺,以分别由第一初始有源图案和第二初始有源图案形成第一有源图案和第二有源图案;以及形成与第一有源图案交叉的第一栅极结构和与第二有源图案交叉的第二栅极结构。第一有源图案形成为在第一方向上纵向延伸并且在与第一方向交叉的第二方向上彼此分隔开。第二有源图案形成为沿第一方向延伸并且在第二方向上彼此分隔开。第一方向是跨过第一区、第二区和第三区延伸的方向。此外,第一有源图案中的相邻的第一有源图案之间在第二方向上的距离与第二有源图案中的相邻的第二有源图案之间在第二方向上的距离不同。
根据发明构思,还提供了制造半导体装置的方法的代表性实施例,所述方法包括:将基板图案化以形成限定第一初始有源图案和第二初始有源图案的第一沟槽,第一初始有源图案沿第一方向纵向延伸并且在与第一方向交叉的第二方向上彼此分隔开,第二初始有源图案沿第一方向纵向延伸,在第一方向上与第一初始有源图案分隔开并且在第二方向上彼此分隔开;在基板上形成暴露第一初始有源图案和第二初始有源图案的端部的掩模图案;执行去除第一初始有源图案的端部和第二初始有源图案的端部并且分别由此形成第一有源图案和第二有源图案的蚀刻工艺,在所述蚀刻工艺中将掩模图案用作蚀刻掩模;以及在基板上形成与第一有源图案交叉的第一栅极结构和与第二有源图案交叉的第二栅极结构。此外,有源图案形成为使得第一初始有源图案中的相邻的第一初始有源图案之间的在第二方向上的距离与第二初始有源图案中的相邻的第二初始有源图案之间的在第二方向上的距离不同。此外,第一初始有源图案的端部在第一方向上与第二初始有源图案的端部整体上分开。此外,蚀刻工艺形成具有设置在比第一沟槽的底部低的水平处的底部的第二沟槽,并且使得第二沟槽在第一方向上的宽度等于所有第一有源图案的集合与所有第二有源图案的集合之间在第一方向上的距离。
根据发明构思,还提供了制造半导体装置的方法的代表性实施例,所述方法包括:在基板上形成牺牲层;执行光刻工艺以在牺牲层上形成图案化的光刻胶层,所述图案化的光刻胶层包括第一光刻胶图案和第二光刻胶图案,第一光刻胶图案中的至少一个光刻胶图案从存储单元区延伸到第三区中,第二光刻胶图案中的至少一个第二光刻胶图案从外围电路区延伸到第三区中;利用图案化的光刻胶层作为蚀刻掩模来蚀刻牺牲层以在存储单元区、外围电路区和第三区中形成图案化的牺牲材料层;沿图案化的牺牲材料层的侧表面形成侧壁表面间隔部;去除图案化的牺牲材料层并利用所述间隔部作为蚀刻掩模蚀刻基板,以使基板在存储单元区、外围电路区和第三区中图案化;在基板上形成掩模,所述掩模覆盖基板的在存储单元区和外围电路区两者中图案化的部分,同时暴露基板的在第三区中图案化的部分;利用所述掩模作为蚀刻掩模,蚀刻第三区中的基板,以去除基板的在第三区中图案化的部分,从而形成基板的在存储单元区中的多个第一有源区和基板的在外围电路区中的多个第二有源区;形成与第一有源区交叉延伸的第一栅极;以及形成与第二有源区交叉延伸的第二栅极。
根据本发明构思,还提供了一种半导体装置的代表性实施例,所述半导体装置包括:基板,在第一区、第二区和位于第一区和第二之间的第三区延伸;第一有源图案,从基板的第一区向上突出,沿跨过第一区至第三区的第一方向延伸,并且在与第一方向交叉的第二方向上彼此分隔开;第二有源图案,从基板的第二区向上突出,沿第一方向延伸,并且在第二方向上彼此分隔开,第一有源图案中的相邻的第一有源图案之间在第二方向上的距离与第二有源图案中的相邻的第二有源图案之间在第二方向上的距离不同;与第一有源图案交叉的第一栅极结构;以及与第二有源图案交叉的第二栅极结构。第三区由沟槽来限定,所述沟槽在第一区和第二区之间的基板中。第一有源图案的侧壁表面在第一区和第三区之间的边界沿第二方向对齐,第二有源图案的侧壁表面在第二区和第三区之间的边界沿第二方向对齐。
附图说明
通过下面结合附图的详细说明,本发明构思和发明构思的目的、特征和优点将被更清楚地理解。附图示出了非限制性的实施例,即,代表性的实施例。
图1A、图2A、图3A、图4A、图5A、图6A、图7A和图8A示出了根据本发明构思的代表性实施例的制造半导体装置的方法,并且均是装置在其制造过程中的平面图。
图1B、图2B、图3B、图4B、图5B、图6B、图7B和图8B均是分别沿图1A至图8A的线I-I'、II-II'和III-III'截取的复合剖视图。
图9A是根据本发明构思的制造半导体装置的方法的代表性实施例中可以形成的第一和第二光刻胶图案的平面图。
图9B是沿图9A的线IV-IV'、V-V'和VI-VI'截取的复合剖视图。
图10是包括根据本发明构思制造的半导体装置的电子系统的示例的示意性框图。
图11是电子系统可应用于其的移动电话的透视图。
应该注意到,这些附图意图示出在某些代表性实施例中使用的方法、结构和/或材料的一般特性,并且意图对下面提供的书面描述进行补充。然而,这些附图不必按比例绘制并且可以不精确地反映任意给出的实施例的精确结构或性能特性,并且不应被解释为限定或限制代表性实施例所包含的性质或值的范围。例如,为了清楚起见可以减小或夸大分子、层、区域和/或结构元件的相对厚度和位置。在各个附图中相似或相同的附图标记的使用意图指示存在相似或相同的元件或特征。
具体实施方式
现在将参照附图更充分地描述本发明构思的代表性实施例,在附图中示出了代表性实施例。然而,本发明构思的代表性实施例可以以许多不同的形式来实施,并且不应被解释为限制于这里阐述的实施例;相反,提供这些实施例,使得该公开将是彻底的且完整的,并向本领域普通技术人员充分地传达代表性实施例的构思。在附图中,为了清楚起见夸大了层和区域的厚度。在附图中同样的附图标记表示同样的元件,因此将省略对它们的描述。
将理解的是,当元件被称为“连接”或“结合”到另一元件时,该元件可以直接连接或结合到所述另一元件,或者可以存在中间元件。相反,当元件被称为“直接连接”或“直接结合”到另一元件时,则不存在中间元件。同样的标记始终表示同样的元件。如这里使用的,术语“和/或”包括一个或更多个相关所列项目的任意和所有组合。用于描述元件或层之间的关系的其他词语应以相似的方式(例如,“在……之间”与“直接在……之间”、“邻近”与“直接邻近”、“在……上”与“直接在……上”)来解释。
将理解的是,尽管这里可以使用术语“第一”、“第二”等来描述各个元件、组件、区域、层和/或部分,但是这些元件、组件、区域、层和/或部分不应受这些术语限制。这些术语仅用于将一个元件、组件、区域、层或部分与另一元件、组件、区域、层或部分区分开。因此,在不脱离代表性实施例的教导的情况下,可以将下面讨论的第一元件、组件、区域、层或部分可称为第二元件、组件、区域、层或部分。
为了易于描述,这里可以使用诸如“在……下面”、“在……下方”、“下面的”、“在……上方”和“上面的”等空间相对术语,以描述如附图中示出的一个元件或特征与其他元件或特征的关系。将理解的是,除了附图中描绘的方位以外,空间相对术语还意图包含装置在使用或操作中的不同方位。例如,如果附图中的装置翻转,则描述为“在”其他元件或特征“下方”或“下面”的元件随后将被定位“在”所述其他元件或特征“上方”。因此,示例性术语“在……下方”可以包含在……上方和在……下方两种方位。器件可以被另外定位(旋转90度或者在其他方位)并且相应地解释这里使用的空间相对描述语。
这里使用的术语仅出于描述具体实施例的目的,并不意图限制代表性实施例。除非上下文另外明确指出,否则如这里所使用的,单数形式的“一个”、“一种”、“该”和“所述”也意图包括复数形式。进一步将理解的是,如果这里使用术语“包括”、“包含”和/或“具有”,则说明存在陈述的特征、整体、步骤、操作、元件和/或组件,但不排除存在或添加一个或更多个其他特征、整体、步骤、操作、元件、组件和/或它们的组。
在这里参照作为代表性实施例中的理想化的实施例(和中间结构)的示意性示图的剖视图来描述本发明构思的代表性实施例。这样,预计将出现例如由制造技术和/或公差引起的图示的形状的变化。因此,本发明构思的代表性实施例不应被解释为限制于这里示出的区域的具体形状,而是将包括例如由制造所造成的形状上的偏差。例如,示出为矩形的注入区域可以在其边缘具有圆形或弯曲的特征和/或注入浓度的梯度,而不是从注入区域到非注入区域的二元变化。同样,通过注入形成的埋置区域可在埋置区域和通过其发生注入的表面之间的区域中导致一定程度的注入。因而,附图中示出的区域实质上是示意性的,它们的形状不意图示出装置的区域的实际形状,并且不意图限制代表性实施例的范围。
如通过本发明实体所理解的,根据这里描述的各种实施例的装置和形成装置的方法可以以诸如集成电路的微电子装置来实现,其中,根据这里描述的各种实施例的多个装置集成在同一微电子装置中。因此,这里示出的剖视图可以在微电子装置中沿不需要正交的两个不同的方向重复。因此,使根据这里描述的各种实施例的装置具体化的微电子装置的平面图可以包括呈基于微电子装置的功能的阵列和/或二维图案的多个装置。
根据这里描述的各种实施例的装置可以根据微电子装置的功能设置在其他装置之间。此外,根据这里描述的各种实施例的微电子装置可以沿可以与所述两个不同的方向正交的第三方向重复,以提供三维集成电路。
因此,这里示出的剖视图对根据这里描述的各种实施例的在平面图中沿两个不同的方向和/或在透视图中沿三个不同的方向延伸的多个器件提供支持。例如,当在器件/结构的剖视图中示出单个有源区时,器件/结构可以包括多个有源区和位于有源区上的多个晶体管结构(或视情况而定的存储单元结构、栅极结构等),如通过器件/结构的平面图所示出的。
除非另有定义,否则这里使用的所有术语(包括技术术语和科学术语)具有与本发明构思的代表性实施例所属的领域中的普通技术人员所通常理解的意思相同的意思。进一步将理解的是,除非这里明确这样定义,否则术语(例如在通用的词典中定义的术语)应被解释为具有与相关领域的环境中它们的意思相一致的意思,而将不以理想的或过于形式化的含义来解释它们的意思。例如,如上下文将明确的,术语“图案”可以是指单个特征或元件,或者可以是指通过图案化工艺形成的整个系列的特征或元件。此外,术语“尺寸”将通常被理解为是指具体特征或元件的表面积或占用面积。
现在将参照图1A至图8B来详细描述根据本发明构思的制造半导体装置的方法的代表性实施例。
首先参照图1A和图1B,可以提供跨越装置的第一区R1至第三区R3的基板100。第一区R1可以与第二区R2分隔开,第三区R3可以设置在第一区R1和第二区R2之间。基板100可以由半导体材料形成或者包括半导体材料。例如,基板100可以是半导体晶片或者包括外延层。作为示例,基板100可以包括硅、锗或硅-锗的单晶层、多晶层或非晶层。
在示例性实施例中,第一区R1可以是用于存储数据的存储单元晶体管设置在其处的单元阵列区。例如,可以在第一区R1中设置均包括六个或八个晶体管的SRAM单元。但是,本发明构思的代表性实施例可以不限于此。第二区R2可以是外围电路设置在其中的外围电路区的一部分。例如,可以在第二区R2中设置列解码器或感测放大器。可以在第二区R2中形成电连接到第一区R1的存储单元晶体管的外围电路晶体管。第三区R3可以用作使第一区R1和第二区R2的晶体管彼此分离的缓冲区,并且因第三区R3,可以防止当第一区R1和第二区R2的晶体管操作时第一区R1和第二区R2的晶体管彼此干扰或妨碍。
可以在基板100上顺序地形成硬掩模125和牺牲层130。在示例性实施例中,硬掩模125可以包括位于基板100上的下掩模层110和位于下掩模层110上的上掩模层120。下掩模层110可以由相对于基板100具有蚀刻选择性的材料形成。作为示例,下掩模层110可以包括氧化硅、氮化硅和氮氧化硅中的至少一种。上掩模层120可以由相对于下掩模层110具有蚀刻选择性的材料形成。作为示例,上掩模层120可以由多晶硅形成或者包括多晶硅。牺牲层130可以由相对于上掩模层120具有蚀刻选择性的材料形成。作为示例,牺牲层130可以由硬掩模上旋涂(spinonhardmask,SOH)层或非晶碳层(ACL)形成或者包括硬掩模上旋涂层或非晶碳层。在本实施例中,尽管硬掩模125被示出为两个层的堆叠件,但是本发明构思的代表性实施例可以不限于此。例如,在其他代表性实施例中,硬掩模125可以包括三个层。尽管未示出,但是,蚀刻停止层可以形成在牺牲层130上以设置在上掩模层120和牺牲层130之间。蚀刻停止层可以由例如SiON的层形成或者包括例如SiON的层。
可以执行光刻工艺以在牺牲层130上形成光刻胶图案。在代表性实施例中,光刻胶图案可以包括形成在第一区R1中的第一光刻胶图案142和形成在第二区R2中的第二光刻胶图案144。第一光刻胶图案142和第二光刻胶图案144的形成工艺可以包括利用抗蚀剂材料涂覆牺牲层130以形成光刻胶层并对光刻胶层执行曝光工艺和显影工艺。尽管未示出,但是在形成光刻胶层之前,可以在牺牲层130上形成抗反射层(未示出)。抗反射层可以包括例如有机抗反射涂层(ARC)。可以基本上同时形成第一光刻胶图案142和第二光刻胶图案144。
在示例性实施例中,可以在基板100上重复地设置第一光刻胶图案142和第二光刻胶图案144以具有线和空间排列(line-and-spacearrangement)。例如,第一光刻胶图案142中的每个第一光刻胶图案142可以是平行于第一方向D1延伸且当沿第二方向D2测量时具有第一宽度W1的线图案。这里,第一方向D1和第二方向D2不彼此平行(例如,可以彼此垂直)。此外,第一光刻胶图案142可以在第二方向D2上彼此分隔开比第一宽度W1大的距离。在下文中,第二宽度W2将表示第一光刻胶图案142之间的距离。同样地,第二光刻胶图案144中的每个第二光刻胶图案144可以是平行于第一方向D1延伸且当沿第二方向D2测量时具有第三宽度W3的线图案。第二光刻胶图案144可以在第二方向D2上彼此分隔开比第三宽度W3大的距离。在下文中,第四宽度W4将表示第二光刻胶图案144之间的距离。这里,第一宽度W1可以与第三宽度W3不同(例如,W1<W3),第二宽度W2可以与第四宽度W4不同(例如,W2<W4)。换言之,第一光刻胶图案142可以形成为具有与第二光刻胶图案144的节距不同的节距。尽管将第一光刻胶图案142和第二光刻胶图案144示出为具有均匀的节距,但是本发明构思的代表性实施例可以不限于此。
根据本发明构思的代表性实施例,第一光刻胶图案142和第二光刻胶图案144之间的距离dp可以小于被用在用于形成第一光刻胶图案142和第二光刻胶图案144的曝光工艺中的光的波长(λ)(即,dp<λ)。在这种情况下,由于诸如光学邻近效应的难于控制的光学现象,第一光刻胶图案142和第二光刻胶图案144的端部可以不具有图1A中示出的精确形状,即,在形状上可以与光刻胶图案142和144的主要部分不同。
在代表性实施例的一些情况下,第一光刻胶图案142和第二光刻胶图案144形成为在第一方向D1上彼此分隔开。例如,第一光刻胶图案142和第二光刻胶图案144可以在第三区R3中具有端部,第一光刻胶图案142和第二光刻胶图案144的相对的一对端部可以在第一方向D1上彼此分隔开距离dp。然而,由于上述光学现象,第一光刻胶图案142的端部可以具有比第一宽度W1大或者小的宽度,第二光刻胶图案144的端部可以具有比第三宽度W3大或者小的宽度。此外,第一光刻胶图案142和第二光刻胶图案144之间的距离可以不是均匀的。在这种情况下,可以将距离dp定义为在第一方向D1上彼此相邻的第一光刻胶图案142和第二光刻胶图案144的端部之间的在第一方向D1上的距离的最大值。
在代表性实施例的其他情况下,如图9A和图9B中所示,第一光刻胶图案142和第二光刻胶图案144彼此连接。具体地,当具有不同节距的第一光刻胶图案142和第二光刻胶图案144形成为彼此相邻时会发生光学邻近效应。结果,可以在第一光刻胶图案142的端部和第二光刻胶图案144的端部之间形成连接光刻胶图案146以使第一光刻胶图案142与第二光刻胶图案144彼此连接。即,光学邻近效应可以导致第一光刻胶图案142的端部和第二光刻胶图案144的端部之间非预期的连接,这样的非预期的连接图案可以构成连接光刻胶图案146。此外,尽管连接光刻胶图案146被示出为使彼此邻接(即,使第一光刻胶图案142和第二光刻胶图案144中的所有光刻胶图案邻接)以利用其构成一体,但是连接光刻胶图案146可以采取其他形式。例如,每个连接光刻胶图案146可以仅使多个第一光刻胶图案142中的相应的一个第一光刻胶图案142与多个第二光刻胶图案144中的相应的一个第二光刻胶图案144彼此连接。
将在后续工艺中形成的牺牲图案、间隔部、硬掩模的图案和初始有源图案的形状可以取决于光刻胶图案的形状,但是根据本发明构思的代表性实施例,光刻胶图案的形状不会导致有源图案的最终形状的不同。此外,可以以同一方式来执行将被执行以形成有源图案的制造步骤,而不依赖于光刻胶图案的形状。在下文中,出于简便的目的,下面的描述将指的是第一光刻胶图案和第二光刻胶图案具有图1A和图1B中示出的形状的本实施例的示例。
参照图2A和图2B,可以使被第一光刻胶图案142和第二光刻胶图案144暴露的牺牲层130图案化以形成第一牺牲图案132和第二牺牲图案134。可以利用例如各向异性干法蚀刻工艺来执行对牺牲层130的图案化,其中,在各向异性干法蚀刻工艺中第一光刻胶图案142和第二光刻胶图案144被用作蚀刻掩模。因此,当在平面图中观察时,第一牺牲图案132可以形成为具有与第一光刻胶图案142的形状基本相同的形状,第二牺牲图案134可以形成为具有与第二光刻胶图案144的形状基本相同的形状。换言之,可以在基板100上重复地设置第一牺牲图案132和第二牺牲图案134以具有与第一光刻胶图案142和第二光刻胶图案144的线和空间排列相似的线和空间排列。第一牺牲图案132可以形成为具有与相对应的第一光刻胶图案142的宽度和间隔或节距基本相等的宽度和间隔或节距。类似地,第二牺牲图案134可以形成为具有与相对应的第二光刻胶图案144的宽度和间隔或节距基本相等的宽度和间隔或节距。即,第一牺牲图案132可以形成为具有第一宽度W1,相邻的一对第一牺牲图案132可以彼此分隔开第二宽度W2。第二牺牲图案134可以形成为具有第三宽度W3,相邻的一对第二牺牲图案134可以彼此分隔开第四宽度W4。
然后,可以形成第一间隔部152以覆盖第一牺牲图案132的侧壁表面,可以形成第二间隔部154以覆盖第二牺牲图案134的侧壁表面。在示例性实施例中,侧壁表面间隔部(即,第一间隔部152和第二间隔部154)的形成可以包括在基板100上形成间隔物层以共形地覆盖第一牺牲图案132和第二牺牲图案134,并且(例如,在没有任何其他掩模图案的情况下)对间隔物层执行各项异性蚀刻工艺以暴露上掩模层120。因此,第一间隔部152和第二间隔部154可以形成为在整体上分别围绕第一牺牲图案132和第二牺牲图案134。间隔物层可以由例如氧化硅形成或者包括例如氧化硅。可以通过原子层沉积(ALD)工艺来形成间隔物层。当在第二方向D2上测量时,多个第一间隔部152中的相邻的第一间隔部152之间的距离可以被定义为第五宽度W5,多个第二间隔部154中的相邻的第二间隔部154之间的距离可以被定义为第六宽度W6。这里,第五宽度W5可以是指在第二方向D2上彼此面对的第一间隔部152的侧壁表面之间的最短距离,第六宽度W6可以是指在第二方向D2上彼此面对的第二间隔部154的侧壁表面之间的最短距离。在代表性实施例的示例中,第五宽度W5基本等于第一宽度W1,第六宽度W6基本等于第三宽度W3。因此,第五宽度W5可以取决于第一宽度W1、第二宽度W2和间隔物层的厚度。类似地,第六宽度W6可以取决于第三宽度W3、第四宽度W4和间隔物层的厚度。
参照图3A和图3B,可以去除第一牺牲图案132和第二牺牲图案134。在示例性实施例中,可以通过例如灰化和/或剥离工艺来去除第一牺牲图案132和第二牺牲图案134。
其后,可以通过将第一间隔部152和第二间隔部154用作蚀刻掩模的蚀刻工艺来蚀刻上掩模层120,结果,可以在下掩模层110上形成第一上掩模图案122和第二上掩模图案124。当在平面图中观察时,第一上掩模图案122和第二上掩模图案124可以形成为具有分别与第一间隔部152和第二间隔部154的形状基本相同的形状。同时,尽管图3A仅示出了第一上掩模图案122和第二上掩模图案124中的每个的端部中的一个,但是相对的端部可以形成为具有与示出的端部基本相同的形状。这意味着每个第一上掩模图案122可以具有封闭环形状,所述封闭环形状包括沿第一方向D1延长并且彼此连接的一对线图案。在示例性实施例中,每个第一上掩模图案122的内侧壁表面之间的距离可以与第一牺牲图案132中的相对应的第一牺牲图案132的第一宽度W1基本相同。此外,在第二方向D2上彼此相邻的第一上掩模图案122之间的距离可以与第五宽度W5基本相同。
类似地,每个第二上掩模图案124可以包括在第一方向D1上延长并且彼此连接从而具有封闭环形状的一对线图案。在代表性实施例的示例中,每个第二上掩模图案124的内侧壁表面之间的距离可以与第二牺牲图案134中的相对应的第二牺牲图案134的第三宽度W3基本相同。此外,沿第二方向D2彼此相邻的第二上掩模图案124之间的距离可以与第六宽度W6基本相同。在某些实施例中,用于形成第一上掩模图案122和第二上掩模图案124的蚀刻工艺可以使第一间隔部152和第二间隔部154保留在第一上掩模图案122和第二上掩模图案124上。
参照图4A和图4B,可以通过将第一上掩模图案122和第二上掩模图案124用作蚀刻掩模的蚀刻工艺来蚀刻下掩模层110,以形成第一下掩模图案112和第二下掩模图案114。当在平面图中观察时,第一下掩模图案112和第二下掩模图案114可以形成为具有分别与第一上掩模图案122和第二上掩模图案124的形状基本相同的形状。第一上掩模图案122和第一下掩模图案112可以构成第一硬掩模图案127,第二上掩模图案124和第二下掩模图案114可以构成第二硬掩模图案129。在示例性实施例中,可以在用于形成第一下掩模图案112和第二下掩模图案114的蚀刻工艺期间或之前去除第一间隔部152和第二间隔部154。
参照图5A和图5B,可以通过将第一硬掩模图案127和第二硬掩模图案129用作蚀刻掩模的蚀刻工艺来蚀刻基板100的上部,以形成限定第一初始有源图案AP1a和第二初始有源图案AP2a的第一沟槽T1。第一初始有源图案AP1a可以形成在第一区R1中,第二初始有源图案AP2a可以形成在第二区R2中。第一初始有源图案AP1a和第二初始有源图案AP2a从基板100的表面向上突出。在已经形成第一初始有源图案AP1a和第二初始有源图案AP2a之后,可以去除第一上掩模图案122与第二上掩模图案124和/或第一下掩模图案112与第二下掩模图案114的任何剩余部分。
当在平面图中观察时,第一初始有源图案AP1a的形状可以与第一上掩模图案122和第一下掩模图案112的形状基本相同。例如,每个第一初始有源图案AP1a可以包括平行于第一方向D1延伸的一对第一线图案L1和使第一线图案L1的端部彼此连接的第一连接图案C1。第一线图案L1的部分和第一连接图案C1可以位于第三区R3中。所述一对第一线图案L1之间的距离可以基本等于第一牺牲图案132的第一宽度W1。此外,沿第二方向D2彼此相邻的第一初始有源图案AP1a之间的距离可以基本等于第五宽度W5。在代表性实施例的示例中,第一宽度W1基本等于第五宽度W5。
类似地,当在平面图中观察时,第二初始有源图案AP2a可以具有与第二上掩模图案124和第二下掩模图案114的形状基本相同的形状。例如,每个第二初始有源图案AP2a可以包括平行于第一方向D1延伸的一对第二线图案L2和使第二线图案L2的端部彼此连接的第二连接图案C2。第二线图案L2的部分和第二连接图案C2可以位于第三区R3中。所述一对第二线图案L2之间的距离可以基本等于第二牺牲图案134的第三宽度W3。此外,沿第二方向D2彼此相邻的第二初始有源图案AP2a之间的距离可以基本等于第六宽度W6。在代表性实施例的示例中,第三宽度W3基本等于第六宽度W6。
参照图6A和图6B,可以在基板100上形成第一掩模图案160。可以将第一掩模图案160形成为暴露第三区R3的整个区域。换言之,当在平面图中观察时,第一掩模图案160不与第三区R3叠置。因此,在这个示例中,第一掩模图案160可以形成为暴露第一初始有源图案AP1a的部分(例如,第一线图案L1的部分和第一连接图案C1)和第二初始有源图案AP2a的部分(例如,第二线图案L2的部分和第二连接图案C2)。第一掩模图案160可以由例如SOH材料形成或可以包括例如SOH材料。第一掩模图案160也可以暴露第一初始有源图案AP1a和第二初始有源图案AP2a的相对的端部,这在附图中未示出。
参照图7A和图7B,可以执行将第一掩模图案160用作蚀刻掩模的蚀刻工艺以形成第二沟槽T2。可以将第二沟槽T2形成为具有比第一沟槽T1的深度大的深度。换言之,第二沟槽T2可以具有比第一沟槽T1的底表面低的底表面。在某些实施例中,可以执行蚀刻工艺以在第三区R3中去除第一初始有源图案AP1a的部分(例如,第一线图案L1的部分和第一连接图案C1)和第二初始有源图案AP2a的部分(例如,第二线图案L2的部分和第二连接图案C2)。结果,分别由第一初始有源图案AP1a和第二初始有源图案AP2a形成第一有源图案AP1b和第二有源图案AP2b。在下文中,将用于去除第一初始有源图案AP1a的部分和第二初始有源图案AP2a的部分的一系列步骤称为“鳍切工艺”(fincutprocess)。
第一有源图案AP1b可以均具有沿第一方向D1纵向延伸的线型结构并且可以在第二方向D2上彼此分隔开。类似地,第二有源图案AP2b可以均具有沿第一方向D1纵向延伸的线型结构并且可以在第二方向D2上彼此分隔开。当在第二方向D2上测量时,第一有源图案AP1b之间的距离可以对应于第一初始有源图案AP1a的第一宽度W1和第五宽度W5。在第一宽度W1和第五宽度W5彼此基本相等的情况下,在第二方向D2上第一有源图案AP1b之间的距离可以是均匀的(例如,第一距离d1)。当在第二方向D2上测量时,第二有源图案AP2b之间的距离可以对应于第二初始有源图案AP2a的第三宽度W3和第六宽度W6。在第三宽度W3和第六宽度W6彼此基本相等的情况下,在第二方向D2上第二有源图案AP2b之间的距离可以是均匀的(例如,第二距离d2)。在本实施例中,第一距离d1不同于第二距离d2。例如,第二距离d2可以大于第一距离d1。
可以在已经形成第二沟槽T2之后去除第一掩模图案160。可以通过例如灰化和/或剥离工艺来去除第一掩模图案160。在代表性实施例的一些示例中,去除第一有源图案AP1b的不必要部分(在下文中,称为第一不必要部分AP1b’)。例如,第一不必要部分AP1b’的去除可以包括形成暴露第一不必要部分AP1b’的掩模图案(未示出)并且利用该掩模图案作为蚀刻掩模来蚀刻第一不必要部分AP1b’。
接下来,可以在第一沟槽T1和第二沟槽T2中形成器件隔离图案ST。例如,器件隔离图案ST的形成可以包括在基板100上形成器件隔离层以填充第一沟槽T1和第二沟槽T2并且使器件隔离层平坦化以暴露基板100。可以蚀刻器件隔离图案ST的上部以暴露第一有源图案AP1b和第二有源图案AP2b的上部。在下文中,将把第一有源图案AP1b和第二有源图案AP2b的被暴露的上部(即,第一有源图案AP1b和第二有源图案AP2b的相对于器件隔离图案ST向上突出的上部)分别称为“第一有源鳍AF1和第二有源鳍AF2”。
由于上述工艺,第一有源图案AP1b可以具有在第一区R1与第三区R3的边界沿第二方向D2对齐的端表面。此外,基板100的在第一区R1中的顶表面可以在第一区R1和第三区R3的边界处与基板的限定第二沟槽T2的侧面的侧壁表面相接。类似地,第二有源图案AP2b可以具有在第二区R2与第三区R3的边界沿第二方向D2对齐的端表面。此外,基板100在第二区R2中的顶表面可以在第二区R2和第三区R3之间的边界处与基板的限定第二沟槽T2的侧面的侧壁表面相接。换言之,第三区R3的宽度(第三区R3在第一方向D1上的尺寸)可以是第一有源图案AP1b和第二有源图案AP2b的相对的端表面之间的距离′dap′。这里,距离dap可以基本等于第二沟槽T2的宽度。换言之,第二沟槽T2的宽度可以等于第三区R3的宽度。此外,尽管第二沟槽T2在附图中被示出为具有竖直侧面,但是第二沟槽T2可以具有朝下的锥形轮廓。因此,可以将第二沟槽T2的最大宽度看作第二沟槽T2的宽度。可以将第三区R3设计成尽可能地窄,同时仍然能够基本上防止第一区R1的晶体管和第二区R2的晶体管(即,FET)之间的电干扰或妨碍。
更具体地,在半导体装置的第一有源图案和第二有源图案具有彼此不同的节距的情况下,由于用于形成第一有源图案和第二有源图案的光刻工艺的分辨率的限制,第一有源图案和第二有源图案通常必须共同地彼此分隔开比提供可靠的装置所需的更大的距离。这导致芯片面积开销的额外花费。相比之下,在根据本发明构思的代表性实施例中,可以将第一组有源图案AP1b和第二组有源图案AP2b形成为尽可能彼此靠近,而不受会在形成图案的方法中所使用的光刻工艺中获得的分辨率的限制。尽管第一光刻胶图案和第二光刻胶图案中的图案缺陷(例如,如参照图9A和图9B所描述的连接图案146的形成)会导致第一初始有源图案AP1a和第二初始有源图案AP2a中的相似或局部的缺陷,但是可以通过图7A和图7B的鳍切工艺来去除第一初始有源图案AP1a和第二初始有源图案AP2a的这样的缺陷。结果,能够在第一区R1和第二区R2中形成在其之间不存在电干扰而工作的晶体管,同时使第三区R3的面积最小化,其中,第三区R3使形成在第一区R1中的晶体管与形成在第二区R2中的晶体管分离。换言之,能够在芯片中以最小量的面积用不同的节距来设置晶体管。
图8A和图8B示出了完成在第一区R1和第二区R2中形成晶体管的步骤。
参照这些附图,可以在基板100上形成第一栅极结构GS1和第二栅极结构GS2以分别与第一有源图案AP1b和第二有源图案AP2b交叉。每个第一栅极结构GS1可以包括顺序地堆叠在基板100上的第一栅极介电图案GD1和第一栅电极GE1。每个第二栅极结构GS2可以包括顺序地堆叠在基板100上的第二栅极介电图案GD2和第二栅电极GE2。在代表性实施例的示例中,第一栅极结构GS1和第二栅极结构GS2的形成包括形成具有开口的第一层间绝缘层170并在所述开口中顺序地形成栅极介电层和栅电极层。在代表性实施例的另一示例中,第一栅极结构GS1和第二栅极结构GS2的形成包括在基板100上顺序地形成栅极介电层和栅电极层,然后使栅极介电层和栅电极层图案化。在这个示例中,可以在已经形成第一栅极结构GS1和第二栅极结构GS2之后形成第一层间绝缘层170。第一栅极介电图案GD1和第二栅极介电图案GD2可以由氧化硅层、氮氧化硅层和其介电常数大于氧化硅层的介电常数的高k介电材料中的至少一种形成,或者包括氧化硅层、氮氧化硅层和其介电常数大于氧化硅层的介电常数的高k介电材料中的至少一种。第一栅电极GE1和第二栅电极GE2可以包括从由掺杂的半导体材料、金属和导电金属氮化物组成的组中选择的至少一种材料。第一层间绝缘层170可以包括例如氧化硅层、氮化硅层和氮氧化硅层中的至少一种。尽管未示出,但是可以在第一栅极结构GS1和第二栅极结构GS2中的每个的两侧上形成栅极间隔件。此外,注意,在第一栅极结构GS1和第二栅极结构GS2的形成期间,在第三区R3中不必形成虚设图案(即,虚设栅极结构)。
可以在第一有源图案AP1b中和在每个第一栅极结构GS1的两侧形成第一源区/漏区,可以在第二有源图案AP2b中和在每个第二栅极结构GS2的两侧形成第二源区/漏区。第一栅极结构GS1和第一源区/漏区可以构成参照图1A和图1B描述的单元阵列的存储单元晶体管。设置在第一栅极结构GS1下方的第一有源鳍AF1的区域可以用作存储单元晶体管的沟道区。类似地,第二栅极结构GS2和第二源区/漏区可以构成参照图1A和图1B描述的外围电路的外围电路晶体管。设置在第二栅极结构GS2下方的第二有源鳍AF2的区域可以用作外围电路晶体管的沟道区。
其后,可以形成第一接触部CT1和第二接触部CT2以分别向第一源区/漏区和第二源区/漏区施加电压。第一接触部CT1和第二接触部CT2可以形成为贯穿可以被设置成覆盖第一栅极结构GS1和第二栅极结构GS2的顶表面的第二层间绝缘层180。第二层间绝缘层180可以包括例如氧化硅层、氮化硅层和氮氧化硅层中的至少一个。
在图8A和图8B的由代表性实施例形成的装置中,如上面所提及的,第一区R1可以是用于存储数据的多个存储单元晶体管设置在其中的单元阵列区。例如,均包括六个或八个晶体管的SRAM单元设置在第一区R1中。第二区R2可以是设置有外围电路的外围电路区的一部分。例如,在第二区R2中设置列解码器或感测放大器。电连接到第一区R1的存储单元晶体管的外围电路晶体管设置在第二区R2中。第三区R3用作使第一区R1的晶体管和第二区R2的晶体管彼此分离的缓冲区,并且第三区R3防止当第一区R1和第二区R2的晶体管正在操作时第一区R1和第二区R2的晶体管彼此干扰或妨碍。然而,第三区R3可以相对窄。此外,在第三区R3中在基板100与第一层间绝缘层170之间不设置虚设图案。
图10示出了包括根据本发明构思制造的半导体装置的电子系统的示例。
参照图10,电子系统1100可以包括控制器1110、输入-输出(I/O)单元1120、存储装置1130、接口单元1140和用作用于数据通信的通路的总线1150。控制器1110、输入-输出单元1120、存储装置1130和/或接口单元1140可以通过总线1150彼此连接或结合。
控制器1110可以包括例如微处理器、数字信号处理器、微控制器或就此具有与微处理器、数字信号处理器或微控制器中的功能相似的功能的任意其他逻辑装置。输入-输出单元1120可以包括小型键盘、键盘和显示装置中的至少一种。存储装置1130可以被构造成存储数据和/或指令。接口单元1140可以向通信网络发送电数据或者可以从通信网络接收电数据。接口单元1140可以以有线或无线方式操作。例如,接口单元1140可以包括用于无线通信的天线或用于有线通信的收发器。尽管附图中未示出,但是电子系统1100还可以包括用作用于改善控制器1110的操作的高速缓冲存储器的快速DRAM器件和/或快速SRAM器件。根据本发明构思制造的半导体装置可以设置在存储装置1130中或者作为控制器1110和/或I/O单元1120的一部分。
电子产品(诸如个人数字助理(PDA)、便携式计算机、网络本、无线电话、移动电话、数字音乐播放器或存储卡或可以以无线方式发送/接收数据的任何其他类型的产品)可以采用电子系统1100。图10的电子系统1100可以应用于其的产品的其他示例包括MP3播放器、导航仪(GPS)、固态盘(SSD)、汽车和家用电器。
图11示出了可以应用图10的电子系统1100的电子产品的一个这样的示例。即,如图11中所示,图10的电子系统1100可以是移动电话1200的电子系统。
最后,在上面已经详细描述了本发明构思的实施例和其示例。然而,本发明构思可以以许多不同形式来实施,并且不应被解释为局限于上面描述的实施例。相反,描述这些实施例,使得本公开是彻底的和完整的,并向本领域技术人员充分传达本发明构思。因此,本发明构思的真实精神和范围不受上面描述的实施例和示例限制,而是受权利要求限制。

Claims (25)

1.一种制造半导体装置的方法,所述半导体装置具有第一区、第二区和位于第一区和第二区之间的第三区,所述方法包括:
提供横跨第一区、第二区和第三区的基板;
在基板上形成第一初始有源图案和第二初始有源图案,使得第一初始有源图案和第二初始有源图案从基板突出,第一初始有源图案从第一区延伸到第三区中以与第三区中的基板叠置,第二初始有源图案从第二区延伸到第三区中,从而也与第三区中的基板叠置;
在第一区和第二区中的基板上形成掩模图案,而不在第三区中形成掩模图案,从而使基板在第三区中暴露;
利用掩模图案作为蚀刻掩模来执行包括蚀刻第一初始有源图案和第二初始有源图案的第一蚀刻工艺以分别由第一初始有源图案和第二初始有源图案形成第一有源图案和第二有源图案;以及
在基板上形成栅极结构,所述栅极结构包括与第一有源图案交叉的第一栅极结构和与第二有源图案交叉的第二栅极结构,
其中,第一有源图案在第一方向上纵向延伸并且在与第一方向交叉的第二方向上彼此分隔开,
第二有源图案沿第一方向延伸并且在第二方向上彼此分隔开,
第一方向跨过第一区、第二区和第三区延伸,
第一有源图案中的相邻的第一有源图案之间在第二方向上的距离与第二有源图案中的相邻的第二有源图案之间在第二方向上的距离不同。
2.如权利要求1所述的方法,其中,第一初始有源图案中的每个第一初始有源图案的形成包括形成在第一方向上均纵向延伸的一对第一线图案,并形成使第一线图案的相邻的端部在第三区中彼此连接的第一连接图案,以及
第二初始有源图案中的每个第二初始有源图案的形成包括形成在第一方向上均纵向延伸的一对第二线图案,并形成使第二线图案的相邻的端部在第三区中彼此连接的第二连接图案。
3.如权利要求2所述的方法,其中,第一蚀刻工艺去除第一连接图案和第二连接图案。
4.如权利要求2所述的方法,其中,所述一对第一线图案之间在第二方向上的距离不同于所述一对第二线图案之间在第二方向上的距离。
5.如权利要求4所述的方法,其中,第一初始有源图案和第二初始有源图案被形成为使得第一初始有源图案中的相邻的第一初始有源图案之间在第二方向上的距离基本等于所述第一线图案之间的距离,
第二初始有源图案中的相邻的第二初始有源图案之间在第二方向上的距离基本等于所述第二线图案之间的距离。
6.如权利要求1所述的方法,其中,第一初始有源图案和第二初始有源图案的形成包括:
在包括第一区和第二区的基板上形成硬掩模;
在第一区中的硬掩模上形成第一牺牲图案并且在第二区中的硬掩模上形成第二牺牲图案;
分别在第一牺牲图案和第二牺牲图案的侧壁表面上形成第一间隔部和第二间隔部;
去除第一牺牲图案和第二牺牲图案;
蚀刻被第一间隔部和第二间隔部暴露的硬掩模以分别在第一区和第二区中形成第一硬掩模图案和第二硬掩模图案;以及
利用第一硬掩模图案和第二硬掩模图案作为蚀刻掩模来蚀刻基板的上部,以形成限定第一初始有源图案和第二初始有源图案的第一沟槽。
7.如权利要求6所述的方法,其中,第一牺牲图案和第二牺牲图案的形成包括:
在硬掩模上形成牺牲层;
分别在第一区和第二区中在牺牲层上形成第一光刻胶图案和第二光刻胶图案;以及
利用第一光刻胶图案和第二光刻胶图案作为蚀刻掩模来蚀刻牺牲层。
8.如权利要求7所述的方法,其中,第一光刻胶图案和第二光刻胶图案的形成包括使牺牲层上的光刻胶层暴露于给定波长的光,并且使被曝光的光刻胶层显影,
第一光刻胶图案包括按照第一节距的多个第一线型光刻胶图案,第二光刻胶图案包括按照第二节距并且在第一方向上与第一线型光刻胶图案整体上分隔开第一距离的多个第二线型光刻胶图案,
第二节距与第一节距不同,
第一距离比用于形成光刻胶图案的光的所述给定波长小。
9.如权利要求6所述的方法,其中,第一蚀刻工艺在第三区中形成第二沟槽,在第三区中第二沟槽的底部被设置在比第一沟槽的底部的水平低的水平,第二沟槽的最宽部分在第三区和第一区之间的边界以及第三区和第二区之间的边界分别具有侧面。
10.一种制造半导体装置的方法,所述方法包括:
将基板图案化以形成限定第一初始有源图案和第二初始有源图案的第一沟槽,第一初始有源图案沿第一方向纵向延伸并且在与第一方向交叉的第二方向上彼此分隔开,第二初始有源图案沿第一方向纵向延伸,并在第一方向上与第一初始有源图案分隔开并且在第二方向上彼此分隔开,第一初始有源图案中的相邻的第一初始有源图案之间的在第二方向上的距离与第二初始有源图案中的相邻的第二初始有源图案之间的在第二方向上的距离不同;
在基板上形成暴露第一初始有源图案和第二初始有源图案的端部的掩模图案,第一初始有源图案的端部在第一方向上与第二初始有源图案的端部整体上分开;
执行去除第一初始有源图案的端部和第二初始有源图案的端部并且分别由此形成第一有源图案和第二有源图案的蚀刻工艺,在所述蚀刻工艺中掩模图案用作蚀刻掩模;以及
在基板上形成与第一有源图案交叉的第一栅极结构和与第二有源图案交叉的第二栅极结构,
其中,所述蚀刻工艺形成具有设置在比第一沟槽的底部低的水平处的底部的第二沟槽,并且使得第二沟槽在第一方向上的宽度等于所有的第一有源图案的集合与所有的第二有源图案的集合之间在第一方向上的距离。
11.如权利要求10所述的方法,其中,每个第一初始有源图案的形成包括形成沿第一方向纵向延伸的一对第一线图案和使所述第一线图案的相邻的端部连接的第一连接图案,
每个第二初始有源图案的形成包括形成沿第一方向纵向延伸的一对第二线图案和使所述第二线图案的相邻的端部连接的第二连接图案,以及
第一连接图案和第二连接图案分别构成第一初始有源图案的端部和第二初始有源图案的端部。
12.如权利要求11所述的方法,其中,第一初始有源图案和第二初始有源图案被形成为使得第一初始有源图案中的相邻的第一初始有源图案之间在第二方向上的距离基本等于所述第一线图案之间的距离,以及
第二初始有源图案中的相邻的第二初始有源图案之间在第二方向上的距离基本等于所述第二线图案之间的距离。
13.如权利要求10所述的方法,其中,第一沟槽的形成包括:
在基板上顺序地形成下掩模层和上掩模层;
在第一区上形成第一牺牲图案,在第二区上形成第二牺牲图案;
分别在第一牺牲图案的侧壁表面和第二牺牲图案的侧壁表面上形成第一间隔部和第二间隔部;
利用第一间隔部和第二间隔部作为蚀刻掩模来蚀刻上掩模层以分别在第一区和第二区上形成第一上掩模图案和第二上掩模图案;
利用第一上掩模图案和第二上掩模图案作为蚀刻掩模来蚀刻下掩模层以分别在第一区和第二区上形成第一下掩模图案和第二下掩模图案;以及
利用第一下掩模图案和第二下掩模图案作为蚀刻掩模来蚀刻基板的上部。
14.如权利要求13所述的方法,其中,第一牺牲图案和第二牺牲图案的形成包括:
在上掩模层上形成牺牲层;
对牺牲层执行光刻工艺以在第一区上形成第一光刻胶图案并且在第二区上形成第二光刻胶图案,光刻工艺包括将光刻胶层暴露于给定波长的光的曝光工艺;以及
利用第一光刻胶图案和第二光刻胶图案作为蚀刻掩模来蚀刻牺牲层。
15.如权利要求14所述的方法,其中,第一光刻胶图案的形成包括形成在第一方向上纵向延伸同时在第二方向上彼此分隔开第一距离的第一线型光刻胶图案,
第二光刻胶图案的形成包括形成在第一方向上纵向延伸同时在第二方向上彼此分隔开与第一距离不同的第二距离的第二线型光刻胶图案,以及
所有第二线型光刻胶图案的集合与所有第一线型光刻胶图案的集合在第一方向上分隔开比所述曝光工艺中使用的光的波长小的距离。
16.如权利要求10所述的方法,其中,第一栅极结构用作存储单元晶体管的一部分,以及
第二栅极结构用作外围电路晶体管的一部分。
17.一种制造半导体装置的方法,所述方法包括:
在基板上形成牺牲层;
执行光刻工艺以在牺牲层上形成图案化的光刻胶层,所述图案化的光刻胶层包括第一光刻胶图案和第二光刻胶图案,第一光刻胶图案中的至少一个第一光刻胶图案从将要形成存储单元的存储单元区延伸到第三区中,第三区与存储单元区和将要形成外围电路的外围电路区毗邻,第二光刻胶图案中的至少一个第二光刻胶图案从外围电路区延伸到第三区中;
利用图案化的光刻胶层作为蚀刻掩模来蚀刻牺牲层以在存储单元区、外围电路区和第三区中形成图案化的牺牲材料层;
沿图案化的牺牲材料层的侧表面形成侧壁表面间隔部;
去除图案化的牺牲材料层并利用所述间隔部作为蚀刻掩模蚀刻基板,以使基板在存储单元区、外围电路区和第三区中图案化;
在基板上形成掩模,所述掩模覆盖基板的在存储单元区和外围电路区两者中图案化的部分,同时暴露基板的在第三区中图案化的部分;
利用所述掩模作为蚀刻掩模,蚀刻第三区中的基板,以去除基板的在第三区中图案化的部分,从而形成基板的在存储单元区中的多个第一有源区和基板的在外围电路区中的多个第二有源区;
形成与第一有源区交叉延伸的第一栅极;以及
形成与第二有源区交叉延伸的第二栅极。
18.如权利要求17所述的方法,其中,利用间隔部作为蚀刻掩模对基板的蚀刻在存储单元区和外围电路区中在基板中形成第一沟槽,以及
对第三区中的基板的蚀刻在第三区中的基板中形成第二沟槽,
第二沟槽的深度比第一沟槽的深度深。
19.如权利要求18所述的方法,所述方法还包括在第一沟槽和第二沟槽中形成填充第二沟槽和仅部分填充第一沟槽的绝缘材料的隔离层,使得基板的有源图案在存储单元区和外围电路区中的每个中从隔离层向上突出,
其中,第一栅极和第二栅极形成在隔离层上。
20.如权利要求17所述的方法,所述方法还包括:在形成牺牲层之前,在基板上形成下硬掩模层,并且在下硬掩模层上形成上硬掩模层,
利用间隔部作为蚀刻掩模对基板的蚀刻包括利用间隔部作为蚀刻掩模来蚀刻上硬掩模层以形成上掩模图案,利用上掩模图案作为蚀刻掩模来蚀刻下硬掩模层以形成下掩模图案,以及利用上掩模图案和下掩模图案作为蚀刻掩模来蚀刻基板。
21.如权利要求17所述的方法,其中,光刻工艺包括使光刻胶层暴露于给定波长的光的曝光工艺,
延伸到第三区中的所有第一光刻胶图案的集合与延伸到第三区中的所有第二光刻胶图案的集合之间在第一方向上的距离小于所述给定波长,第一方向是直接跨过存储单元区与外围电路区之间的第三区延伸的方向。
22.一种半导体装置,所述半导体装置包括:
基板,具有第一区、第二区以及位于第一区和第二区之间的第三区;
第一有源图案,从基板的第一区向上突出,沿跨过第一区至第三区的第一方向延伸,并且在与第一方向交叉的第二方向上彼此分隔开;
第二有源图案,从基板的第二区向上突出,沿第一方向延伸,并且在第二方向上彼此分隔开,当沿第二方向测量时,第一有源图案中的相邻的第一有源图案之间的距离与第二有源图案中的相邻的第二有源图案之间的距离不同;
第一栅极结构,与第一有源图案交叉;以及
第二栅极结构,与第二有源图案交叉,
其中,第三区被设置在基板上并且位于第一区和第二区之间的沟槽限定,
第一有源图案的侧壁表面在第一区和第三区之间的边界处沿第二方向对齐,
第二有源图案的侧壁表面在第二区和第三区之间的边界处沿第二方向对齐。
23.如权利要求22所述的半导体装置,其中,当沿第一方向测量时,沟槽的最大宽度基本上等于第一有源图案的侧壁表面与第二有源图案的侧壁表面之间的距离。
24.如权利要求22所述的半导体装置,其中,当在剖视图中观察时,基板的第一区的顶表面与沟槽的在第一区和第三区的边界处的侧壁相接,基板的第二区的顶表面与沟槽的在第二区和第三区的边界处的相对侧壁相接。
25.如权利要求22所述的半导体装置,其中,第一栅极结构用作存储单元晶体管的一部分,
第二栅极结构用作外围电路晶体管的一部分。
CN201510526883.4A 2014-08-25 2015-08-25 半导体装置及其制造方法 Active CN105390399B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462041473P 2014-08-25 2014-08-25
US62/041,473 2014-08-25
KR10-2015-0014806 2015-01-30
KR1020150014806A KR102290460B1 (ko) 2014-08-25 2015-01-30 반도체 소자 및 그 제조 방법

Publications (2)

Publication Number Publication Date
CN105390399A true CN105390399A (zh) 2016-03-09
CN105390399B CN105390399B (zh) 2020-08-28

Family

ID=55348902

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510526883.4A Active CN105390399B (zh) 2014-08-25 2015-08-25 半导体装置及其制造方法

Country Status (2)

Country Link
US (3) US9324619B2 (zh)
CN (1) CN105390399B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107393921A (zh) * 2016-05-17 2017-11-24 三星电子株式会社 半导体器件及其制造方法
CN107402498A (zh) * 2016-05-19 2017-11-28 三星显示有限公司 压印光刻法、用于压印的主模板、线栅偏振器和显示基底
CN108538786A (zh) * 2017-03-06 2018-09-14 三星电子株式会社 半导体装置及其制造方法
CN112133699A (zh) * 2020-09-15 2020-12-25 福建省晋华集成电路有限公司 有源区域结构与其形成方法
WO2021103535A1 (zh) * 2019-11-26 2021-06-03 长鑫存储技术有限公司 存储器、存储器的衬底结构及其制备方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160097608A (ko) * 2015-02-09 2016-08-18 삼성전자주식회사 반도체 소자를 제조하는 방법
KR102352155B1 (ko) * 2015-04-02 2022-01-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US9412616B1 (en) * 2015-11-16 2016-08-09 Globalfoundries Inc. Methods of forming single and double diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
KR102607278B1 (ko) * 2016-04-28 2023-11-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR20180052171A (ko) * 2016-11-09 2018-05-18 삼성전자주식회사 반도체 집적회로 레이아웃의 설계 방법 및 이를 이용한 반도체 소자의 제조방법
KR102301850B1 (ko) * 2016-11-24 2021-09-14 삼성전자주식회사 액티브 패턴 구조물 및 액티브 패턴 구조물을 포함하는 반도체 소자
JP7325167B2 (ja) * 2017-03-16 2023-08-14 富士電機株式会社 半導体装置の製造方法
US10651201B2 (en) * 2017-04-05 2020-05-12 Samsung Electronics Co., Ltd. Integrated circuit including interconnection and method of fabricating the same, the interconnection including a pattern shaped and/or a via disposed for mitigating electromigration
US20190027364A1 (en) * 2017-07-21 2019-01-24 Nanya Technology Corporation Semiconductor structure and method for preparing the same
KR102360410B1 (ko) * 2017-08-30 2022-02-08 삼성전자주식회사 반도체 장치
US10818677B2 (en) * 2018-07-16 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Layout of static random access memory periphery circuit
KR102472571B1 (ko) 2018-07-20 2022-12-01 삼성전자주식회사 반도체 소자
US11271091B2 (en) 2019-06-18 2022-03-08 Samsung Electronics Co., Ltd. Fin structure for vertical field effect transistor having two-dimensional shape in plan view

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101903991A (zh) * 2007-12-18 2010-12-01 美光科技公司 用于隔离间距倍增材料环的部分的方法及相关结构
US20120100706A1 (en) * 2010-10-21 2012-04-26 Jae-Hwang Sim Microelectronic Fabrication Methods Using Composite Layers for Double Patterning
US8198655B1 (en) * 2009-04-27 2012-06-12 Carnegie Mellon University Regular pattern arrays for memory and logic on a semiconductor substrate
CN102956496A (zh) * 2011-08-30 2013-03-06 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的制造方法、鳍式场效应晶体管

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5322668B2 (zh) 1972-06-30 1978-07-10
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
KR100513405B1 (ko) * 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR20050069437A (ko) * 2003-12-31 2005-07-05 동부아남반도체 주식회사 에스램 소자의 제조방법
KR100587672B1 (ko) * 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
KR100594282B1 (ko) 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
KR100843717B1 (ko) * 2007-06-28 2008-07-04 삼성전자주식회사 플로팅 바디 소자 및 벌크 바디 소자를 갖는 반도체소자 및그 제조방법
KR100585178B1 (ko) * 2005-02-05 2006-05-30 삼성전자주식회사 금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
JP2006303451A (ja) * 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
KR100744137B1 (ko) * 2006-04-06 2007-08-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7750416B2 (en) * 2006-05-03 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Modifying work function in PMOS devices by counter-doping
KR100767399B1 (ko) * 2006-07-03 2007-10-17 삼성전자주식회사 핀-펫을 포함하는 반도체 장치의 제조 방법
JP2008041895A (ja) * 2006-08-04 2008-02-21 Renesas Technology Corp 半導体装置およびその製造方法
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
JP4461154B2 (ja) * 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US9190495B2 (en) * 2008-09-22 2015-11-17 Samsung Electronics Co., Ltd. Recessed channel array transistors, and semiconductor devices including a recessed channel array transistor
KR101040367B1 (ko) 2008-12-26 2011-06-10 주식회사 하이닉스반도체 새들 핀 트랜지스터를 구비하는 반도체소자 및 그 제조방법
JP5322668B2 (ja) 2009-01-21 2013-10-23 株式会社東芝 半導体装置の製造方法およびフォトマスク
KR20100110098A (ko) 2009-04-02 2010-10-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8942030B2 (en) * 2010-06-25 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM cell circuit
US8399931B2 (en) * 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8964455B2 (en) * 2010-03-10 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a SRAM circuit
US8420459B1 (en) 2011-10-20 2013-04-16 International Business Machines Corporation Bulk fin-field effect transistors with well defined isolation
KR101823105B1 (ko) * 2012-03-19 2018-01-30 삼성전자주식회사 전계 효과 트랜지스터의 형성 방법
US8860148B2 (en) * 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9583398B2 (en) 2012-06-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having FinFETS with different fin profiles
US9153579B2 (en) * 2012-07-09 2015-10-06 SK Hynix Inc. Semiconductor device having extended buried gate
US9012287B2 (en) * 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
US8822320B2 (en) 2012-11-20 2014-09-02 International Business Machines Corporation Dense finFET SRAM
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US9530775B2 (en) * 2013-06-12 2016-12-27 Globalfoundries Inc. Methods of forming different FinFET devices having different fin heights and an integrated circuit product containing such devices
KR102152772B1 (ko) 2013-11-18 2020-09-08 삼성전자 주식회사 레이아웃 디자인 시스템, 레이아웃 디자인 방법, 및 이를 이용하여 제조된 반도체 장치
US9343370B1 (en) * 2014-11-28 2016-05-17 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
KR102290793B1 (ko) * 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101903991A (zh) * 2007-12-18 2010-12-01 美光科技公司 用于隔离间距倍增材料环的部分的方法及相关结构
US8198655B1 (en) * 2009-04-27 2012-06-12 Carnegie Mellon University Regular pattern arrays for memory and logic on a semiconductor substrate
US20120100706A1 (en) * 2010-10-21 2012-04-26 Jae-Hwang Sim Microelectronic Fabrication Methods Using Composite Layers for Double Patterning
CN102956496A (zh) * 2011-08-30 2013-03-06 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的制造方法、鳍式场效应晶体管

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107393921A (zh) * 2016-05-17 2017-11-24 三星电子株式会社 半导体器件及其制造方法
CN107393921B (zh) * 2016-05-17 2023-04-18 三星电子株式会社 半导体器件及其制造方法
CN107402498A (zh) * 2016-05-19 2017-11-28 三星显示有限公司 压印光刻法、用于压印的主模板、线栅偏振器和显示基底
CN107402498B (zh) * 2016-05-19 2022-08-09 三星显示有限公司 压印光刻法、用于压印的主模板、线栅偏振器和显示基底
CN108538786A (zh) * 2017-03-06 2018-09-14 三星电子株式会社 半导体装置及其制造方法
US11830775B2 (en) 2017-03-06 2023-11-28 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices by etching active fins using etching masks
WO2021103535A1 (zh) * 2019-11-26 2021-06-03 长鑫存储技术有限公司 存储器、存储器的衬底结构及其制备方法
CN112133699A (zh) * 2020-09-15 2020-12-25 福建省晋华集成电路有限公司 有源区域结构与其形成方法

Also Published As

Publication number Publication date
US10096520B2 (en) 2018-10-09
US20160056081A1 (en) 2016-02-25
US20160204112A1 (en) 2016-07-14
US20170110372A1 (en) 2017-04-20
CN105390399B (zh) 2020-08-28
US9564368B2 (en) 2017-02-07
US9324619B2 (en) 2016-04-26

Similar Documents

Publication Publication Date Title
CN105390399A (zh) 半导体装置及其制造方法
US10096479B2 (en) Method of fabricating semiconductor device
US9761593B2 (en) Semiconductor device
KR100731334B1 (ko) 반도체장치의 제조방법
KR101594743B1 (ko) Finfet sram을 위한 구조물
CN105336584B (zh) 细微图案化方法以及利用该方法制造半导体器件的方法
KR20160125859A (ko) 반도체 소자의 패턴 형성 방법
US9564340B2 (en) Method of manufacturing semiconductor device
US10529423B2 (en) DRAM device with embedded flash memory for redundancy and fabrication method thereof
TWI661557B (zh) 半導體裝置及其製造方法
US9640432B2 (en) Memory device structure and fabricating method thereof
US9508832B2 (en) Method of fabricating a semiconductor device
KR101707465B1 (ko) 반도체 소자
US8836074B2 (en) Semiconductor memory device
JP5524167B2 (ja) 半導体装置の製造方法
CN106653757A (zh) 半导体结构及其形成方法
CN104465356A (zh) 半导体器件及其制造方法
JP2010225993A (ja) 半導体装置の製造方法および半導体装置
KR20080061482A (ko) 반도체 소자 및 그의 제조 방법
KR20060135241A (ko) 반도체 소자의 정렬키 형성 방법
KR20140023764A (ko) 반도체 장치 및 그 제조방법
KR20070062020A (ko) 플래쉬 메모리 소자의 제조 방법
KR20070000156A (ko) Nand형 플래쉬 메모리 소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant