CN105374717A - 用于快速热处理腔室的透明反射板 - Google Patents

用于快速热处理腔室的透明反射板 Download PDF

Info

Publication number
CN105374717A
CN105374717A CN201510817798.3A CN201510817798A CN105374717A CN 105374717 A CN105374717 A CN 105374717A CN 201510817798 A CN201510817798 A CN 201510817798A CN 105374717 A CN105374717 A CN 105374717A
Authority
CN
China
Prior art keywords
equipment
reflecting plate
base plate
plate
reflectance coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510817798.3A
Other languages
English (en)
Other versions
CN105374717B (zh
Inventor
布莱克·R·凯尔梅尔
阿伦·M·亨特
亚历山大·N·勒纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105374717A publication Critical patent/CN105374717A/zh
Application granted granted Critical
Publication of CN105374717B publication Critical patent/CN105374717B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/14Arrangements of heating devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/18Arrangement of controlling, monitoring, alarm or like devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D11/00Arrangement of elements for electric heating in or on furnaces
    • F27D11/02Ohmic resistance heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • F27D2019/0003Monitoring the temperature or a characteristic of the charge and using it as a controlling value
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明一般涉及用于处理基板的方法和设备。本发明的实施例包括用于处理包括陶瓷反射板的设备,该陶瓷反射板可为光学地透明。反射板可包括反射涂层,且反射板为反射板组件的一部分,其中反射板组设至底板。

Description

用于快速热处理腔室的透明反射板
本申请是申请日为2011年8月9日、申请号为201180038683.4、名称为“用于快速热处理腔室的透明反射板”的发明专利申请的分案申请。
背景
发明领域
本发明一般涉及半导体处理的领域。较具体地,本发明涉及使用在半导体热处理腔室(如,快速热处理腔室)中的反射板。
背景
快速热处理(RapidThermalProcessing,RTP)为在半导体制造期间用于退火基板的处理。在此处理期间,使用热辐射以在控制环境中将基板快速地加热至超过室温900度的最大温度。此最大温度依据处理而维持于低于一秒到数分钟。为进一步处理,基板接着冷却至室温。半导体制造处理具有数个RTP应用。这些应用包括热氧化(基板在氧或氧和氢的结合中加热,这些气体会导致基板氧化而形成二氧化硅);高温浸泡退火(使用不同的气体混和物,如氮、氨或氧);低温浸泡退火(一般用来退火沉积有金属的晶片);和峰值退火(主要使用于基板需暴露至高温于非常短时间的处理)。在峰值退火期间,基板快速地加热至足以活化掺杂剂的最大温度,并在掺杂剂实质扩散前加速地冷却以终止活化处理。
使用高强度钨或卤素灯作为热辐射的源。当反射板将由晶片散发的热辐射朝晶片反射回去时,反射板(如显示于图2中且于后文进一步叙述)帮助维持温度均匀。
图1显示现存反射板27的侧截面。如图1中所示,辐射高温计灯管42经由在反射板27中的开口而突出,使得辐射高温计灯管具有晶片的清晰视界,如于图2中的最佳所视。现存反射板由铝所制成。高温计灯管42与铝反射板27表面齐平,在该铝反射板27表面上有反射涂层(图未示)且该铝反射板27表面面对晶片。因灯管和反射涂层暴露至腔室环境,晶片副产物材料可沉积于灯管及/或反射涂层上,这种情形导致于温度测量中的偏差。此偏差可快速且彻底地发生或于长时段小幅增加。此外,施加至铝反射板的反射涂层复杂且难以制成(高成本),且反射涂层具有150℃的最大操作温度限制,且反射涂层在特定处理条件下有易于剥离的倾向。石英板60置于晶片和反射板27之间,且石英板60置于固定至反射板27的支架64上,而留下间隙62。石英板60帮助缓和于上所提及的某些问题。然而,仍需要减少于上述所讨论、与现存反射板有关的问题。
概述
所以,本发明的一个或多个实施例涉及一种用于处理基板的设备,所述基板具有一前侧和一后侧。所述设备包括:处理区,所述处理区位于腔室内,所述腔室由邻近辐射加热源的窗口而限定于一侧上,所述辐射加热源位于所述处理区的外侧;及反射板,所述反射板相对所述辐射加热源而设置,所述反射板包括由陶瓷材料所制成的主体和位于所述反射板的一侧上的反射涂层,和延伸经过至少所述反射涂层的多个孔。在一个实施例中,所述陶瓷材料包含光学地透明的陶瓷。在一个实施例中,所述光学地透明的材料选自氧化铝、碳化硅、石英和蓝宝石。依据一实施例,所述反射板的所述侧具有最接近所述辐射加热源的第一表面和最远离所述辐射加热源的第二表面,所述第二表面具有所述涂层于所述第二表面上。
在一个或多个实施例中,所述孔间隔开以容纳高温计探针。在一个或多个实施例中,所述孔仅延伸经过所述反射涂层。在一个或多个实施例中,所述反射板安装于底板(baseplate)以提供反射板组件。在一个实施例中,所述反射板和所述底板以低于约5mm而间隔。在其它实施例中,所述反射板和所述底板直接接触且未间隔。在一个或多个实施例中,所述反射板组件包括支架以将所述反射板和所述基板以一分隔关系而分离。
在一个实施例中,所述反射涂层包括多个介电层。在一个实施例中,所述陶瓷材料包含掺杂剂以增加由所述反射板所吸收的热量。在一个或多个实施例中,所述掺杂剂选自稀土材料、氢氧基和上述材料的结合。在一个或多个实施例中,所述底板包括多个开口,所述开口与在所述反射板中的所述孔对齐。
在本发明的第二方面实施例中,关于一种用于快速热处理腔的反射板组件设备,所述反射板组件设备包括:底板,所述底板具有穿过所述底板的多个开口以容纳高温计探针;及反射板,所述反射板包括由陶瓷材料所制成的主体和位于所述反射板的一侧上的反射涂层,和延伸经过至少所述反射涂层的多个孔,所述孔与经过所述底板的所述开口对齐,其中所述反射板组设至所述底板,使得在所述底板中的所述开口和所述反射板中的所述孔对齐。在一个实施例中,所述底板包括多个支架以将所述反射板和所述基板以一分隔关系而维持。在一个实施例中,具有所述涂层的所述侧面对所述基板。在一个或多个实施例中,所述陶瓷材料为光学地透明。在一个或多个实施例中,所述陶瓷材料选自氧化铝、碳化硅、石英和蓝宝石。在一个或多个实施例中,所述陶瓷材料包含掺杂剂以增加由所述反射板所吸收的热量,其中所述掺杂剂选自稀土材料、氢氧基和上述材料的结合。
附图简要说明
本发明的更特别的说明(上文简要概述的)可参照本发明的实施例(这些实施例描绘于所附的附图)而获得。应注意,所附的附图仅说明本发明的典型实施例,且不因此被视为对本发明范围的限制,因本发明可允许其它同等有效的实施例。
图1为传统快速热处理腔室反射板组件的侧截面图;
图2显示快速热处理腔室;
图3为依据本发明一实施例的反射板的立体图;
图4为依据本发明一实施例的反射板组件的侧截面图;
图5为依据本发明一实施例的反射板组件的侧截面图;
图6为依据本发明一实施例的反射板组件的侧截面图。
为促进了解,尽可能使用相同的标号来表示附图中所共有的相同元件。
具体描述
在说明本发明的几个示范实施例之前,应理解本发明并未限于下文说明中的结构或处理步骤的细节。本发明可包括其它实施例,且可以各种方式执行或实现。
图2概要地呈现依据本发明的实施例的快速热处理腔室10,该快速热处理腔室10包括反射板设备。Peuse等人在美国专利第5,848,842和6,179,466号中说明此种反应器及此反应器的仪器的进一步细节。举例来说,待热处理的基板或晶片12、半导体晶片(如,硅晶片)通过阀或存取端口(accessport)13进入腔室10的处理区18。晶片12由在此实施例中以环形边缘环14显示的基板支撑件而支撑于晶片周缘上,该环形边缘环14可具有接触晶片12的角落的环状坡架15。Ballance等人在美国专利第6,395,363号中更完整地说明边缘环和边缘环的支撑功能。晶片被定向使得已形成于晶片12的前表面中的所处理的特征结构16向上(对照向下的重力场)面朝处理区18,该处理区18由透明石英窗口20限定在处理区18的上侧。透明石英窗口20位于距晶片12实质距离处,使得窗口在处理期间对基板的冷却具有最小的影响。典型地,在晶片12和窗口20之间的距离为大约20mm。相对示意图而言,大部分的特征结构16并未突出超过晶片12的表面的实质距离,但在表面平面内或附近处构成图案。当晶片在将晶片带入腔室内的桨状物(paddle)或机器人叶片(robotblade)(未图示)并放置于边缘环14间处理时,举升销22可上升或下降以支撑晶片12的后侧。辐射加热设备24置于窗口20之上以引导辐射能朝向晶片12并因此加热晶片。在反应器或处理腔室10中,辐射加热设备包括位于各反射管27中的大量高强度钨-卤素灯26(示范数量为409个),所述反射管27以六方密堆积(ahexagonalclose-packed)的方式而配置于窗口20上。
期望将遍布晶片12的温度控制成均匀遍布晶片12的接近限定温度。依此考虑,反射板28以平行且大于晶片12的区域的方式而延伸,该反射板28并面向晶片12的后侧。反射板28有效地将从晶片12散发的热辐射朝晶片12反射回去。在晶片12与反射板28之间的间隔可在3至9mm的范围内,且空腔的宽度对厚度的宽厚比(aspectratio)有利地大于20。如上所述的反射板28由铝所制成,且包含金涂层或多层介电干涉镜,在晶片12的后侧有效地形成黑体空腔,该黑体空腔易于从晶片12的较温暖部分提供热量至较冷部分。在其它实施例中,举例来说,如披露于美国专利号第6,839,507和7,041,931号中,反射板28可具有较不规则的表面或具有黑色或其它颜色的表面。反射板28可支撑于由金属制成的水冷却基底53上以由水散热多余的辐射(尤其在冷却期间时)。因此,处理腔室的处理区18具有至少两个实质平行壁,其中第一壁为由透明以供辐射的材料(如,石英)所制成的窗口20,第二壁/基底53实质平行第一壁,该第二壁/基底53由金属所制成且明显为不透明的。
灯26被分割成数区,所述区一般绕中央轴34配置成类环状。控制电路在不同区中改变传送至灯26的电压,以由此调整辐射能的辐射分布。区域加热的动态控制由经一个或多个光学灯管42耦接的一个或多个高温计40而影响,所述一个或多个光学灯管42被放置以经由在反射体28中的孔而面对晶片12的后侧,以测量遍布旋转晶片12的半径的温度。灯管42可由各种结构所形成,所述结构包括蓝宝石、金属及石英光纤。计算机化控制器44接收高温计40的输出并相应控制供应至不同环的灯26的电压,以由此在处理期间动态控制辐射加热强度和图案。高温计一般在约700至1000nm的范围中测量在窄波长带宽(如,40nm)中的光强度。控制器44或其它仪器经由众所周知的光强度的光谱分布的普朗克分布(Plankdistribution)而将光强度转换成温度,该光强度由维持于该温度的黑体辐射出。
显示于图2的腔室允许晶片12支撑件被轻易地举升于腔室内侧的不同的垂直位置以允许控制基板的热暴露(thermalexposure)。应理解显示于图2的结构并不意欲作为限制。特别地,本发明不限于热源或灯在一侧或基板的表面处指向且高温计在晶片的相对侧处指向的结构。
如上所述,在处理腔室的处理区中的晶片温度一般通过辐射高温测量(radiationpyrometry)而测量。尽管辐射高温测量可相当精确,如果此辐射由高温计而检测,则在辐射高温计带宽内和从热源产生的辐射可受到高温计信号的判读而干扰。在应用材料公司的RTP系统中,此情形由处理套件和由晶片本身而最小化。处理套组将晶片与旋转系统耦接。处理套件可包括如在图2中以标号30所示的支撑汽缸(supportcylinder)。处理套件也可包括未显示于图中但可使用于一些处理腔室结构中的支撑环。此支撑环基本为支撑边缘环的辅助边缘环,如于图2中以标号14所示的边缘环。
灯26的阵列有时称为灯头。然而,其它辐射加热设备可作为替换。一般而言,这些设备包括电阻加热,以快速的提高辐射源的温度。合适灯的例子包括具有环绕灯丝的玻璃或石英外壳的水银蒸气灯,和环绕气体(如,氙)(当气体被充能时,提供热源)的玻璃或石英外壳的闪光灯(flashlamp)。当于本文使用时,术语“灯”意欲覆盖包括环绕热源的外壳的灯。灯的“热源”与可增加基板温度的材料或元件有关(如,灯丝或可被充能的气体)。
当于本文使用时,快速热处理即RTP指可以约50℃/秒和更高的速率(如,以100至150℃/秒和200至400℃/秒的速率)均匀地加热晶片的设备或处理。在RTP腔室中典型的下降(冷却)速率为在80至150℃/秒的范围。执行于RTP腔室中的某些处理需要遍布基板作低于几度摄氏温度的温度变化。因此,RTP腔室必须包括灯或其它合适的加热系统和可以高达100至150℃/秒,和200至400℃/秒的速率加热的加热系统控制,这是造成快速热处理腔室与其它不具有加热系统和可以这些速率快速加热的加热控制系统的热腔室不同处。依据本发明的另一方面,本发明的实施例也可应用于快速退火(flashannealing)。当在本文使用时,快速退火指将一试样在低于5秒中退火,具体地,低于1秒且在某些实施例中为低于几毫秒。
改善温度均匀度的一种方式包括将边缘环14支撑于旋转汽缸30上,该旋转汽缸30磁性地耦接至位于腔室外侧的旋转凸缘32。转子(未图示)转动凸缘32并因此绕转子的中心34转动晶片,中心34也为一般对称腔室的中心线。
改善均匀度的另一种方式将灯26分割成数区,所述区一般绕中央轴34配置成类环状。控制电路在不同区中改变传送至灯26的电压,以由此调整辐射能的辐射分布。区域加热的动态控制由经一个或多个光学灯管42耦接的一个或多个高温计40而影响,所述一个或多个光学灯管42被放置以经由在反射体28中的孔而面对晶片12的后侧,以测量遍布旋转晶片12的半径的温度。灯管42可由各种结构所形成,所述结构包括蓝宝石、金属及石英光纤。计算机化控制器44接收高温计40的输出并相应控制供应至不同环的灯26的电压,以由此在处理期间动态控制辐射加热强度和图案。高温计一般在约700至1000nm的范围中测量在窄波长带宽(如,40nm)中的光强度。控制器44或其它仪器经由众所周知的光强度的光谱分布的普朗克分布而将光强度转换成温度,该光强度由维持于该温度的黑体辐射出。
显示于图2的腔室允许晶片12支撑件被轻易地举升于腔室内侧的不同的垂直位置以允许控制基板的热暴露。应理解显示于图1的结构并不意欲作为限制。特别地,本发明不限于热源或灯在一侧或基板的表面处指向且高温计在晶片的相对侧处指向的结构。
如上所述,在处理腔室的处理区中的晶片温度一般通过辐射高温测量而测量。尽管辐射高温测量可相当精确,如果此辐射由高温计而检测,则在辐射高温计带宽内和从热源产生的辐射可受到高温计信号的判读而干扰。在应用材料公司的RTP系统中,此情形由处理套件和由晶片本身而最小化。处理套组将晶片与旋转系统耦接。处理套件可包括如在图1中以标号30所示的支撑汽缸。处理套件也可包括未显示于图中但可使用于一些处理腔室结构中的支撑环。此支撑环基本为支撑边缘环的辅助边缘环,如于图2中以标号14所示的边缘环。
依据本发明的第一方面,提供有改良的反射板28和反射板组件。图3显示使用于设备(该设备显示于图2中)的反射板28种类的立体图,该反射板28包括开口以允许举升销22经过该反射板28的顶侧29而突出。
在一个或多个实施例中,反射板28主体由光学地透明的材料(如,石英、蓝宝石或透明钇铝石榴石)所制成。反射板还包括用于如图1中所示容纳高温计灯管42的多个灯管孔。
反射板组件25显示于依据本发明的一实施例的图4中。应注意,用来容纳显示于图3中的举升销的开口未显示于图4中。反射板组件包括底板(baseplate)19,基板19在一个实施例中由合适的金属(如,不锈钢)所制成。底板19可固定至腔室底部,举例来说,由螺栓、螺丝或其它合适的紧固件而固定至如图2中所示的腔室基底53。底板19具有开口,高温计灯管42可通过开口。在底板中的开口与反射板中的孔对齐以容纳高温计灯管。反射板组件25进一步包括反射板28,反射板的主体由陶瓷所制成,陶瓷包含(但不限于)氧化铝、碳化硅、石英、蓝宝石。此陶瓷依据所选择的实施例而可为或不可为光学地透明的。随着穿孔允许高温计灯管通过陶瓷,涂层可沉积于第一表面上,且陶瓷不需为光学地透明的。依据一个实施例,如果涂层沉积于第二表面上时,则陶瓷应为光学地透明的。第一表面反射体将导致较冷的反射板,而这种情形可能为所期望的或非所期望的。第二表面反射体将导致较温暖的反射板,且涂层也通过变成埋入或沉积于涂层顶部的副产物而从任何处理较佳地屏蔽。
反射板28具有与底板19直径相似的直径。反射板28安装于底板19上方。安装反射板28的一种合适方法是放置反射板28于支架33上,使得反射板28和底板19分隔而提供少于约5mm的间隙45。在一个或多个实施例中,反射板28和底板19通过少于约1mm而分隔,且在其它实施例中,并无间隔在反射板28和底板19之间。在所示的结构中,支架33由底板而定位且容纳,但放置和支撑反射板28。反射板28可进一步包括施加于反射体28的任一侧或两侧的反射涂层35。所以,反射板组件25包括反射板28,该反射板28置于支架33上,该支架33由底板19所约束,高温计灯管42通过该支架33,该高温计灯管42被螺固至腔室底部53。在某些实施例中,举升销46通过支架33。通过以光学地透明的陶瓷(如,石英或蓝宝石)而制造反射板28的主体,反射涂层可置于反射板的后侧37上(远离晶片12)。在此方向中,涂布的后侧37未直接地暴露至晶片处理副产物,且因此涂层较不易受到剥离。此外,显示延伸入涂层但可与涂层35齐平的高温计探针或灯管42也未直接暴露至副产物。因此,光学地透明的反射板将吸收多的辐射能且将变得更热。
在所示的实施例中,在反射板28的后侧37上有未施加涂层的区域,使得高温计通过光学地透明的反射板28仍具有至晶片的清晰视野。这些未涂布的区域可与涂布表面齐平或和涂布表面相距1mm内。在所示的实施中,这些未涂布的区域形成盲孔(blindhole)或盲口(blindopening)。在替代实施例中,高温计开口可为孔41或穿孔148(如图5中所示)的形式。在图6所示的一个或多个实施例中,提供盲孔248,使得高温计灯管42可被设计为大致上与反射涂层齐平,但因于组件中层叠的公差而允许垂直变化。此外,盲孔提供一种便利的方式以准确地定位掩模,此方式可使用于涂布处理期间以确保这些区域保持未涂布。在一个或多个实施例中,在涂层中的空隙可在涂层沉积前通过掩模或涂层去除处理(如,激光烧蚀)而产生,这些处理选择地仅去除一些区域中的涂层,这些区域是高温计需要进入处理环境的视线的区域。在一个或多个实施例中,涂层可施加至第一表面的顶侧29,开口或孔可部分地或完全地延伸经过于顶侧29上的涂层。
在所示具有涂层35在反射板28的后侧35上的反射板组件25的结构中,晶片副产物沉积在反射板28上的速率降低,因此延伸出可称之为清洁间的平均晶片(MeanWaferBetweenClean,MWBC)。MWBC指必须在反射板28上执行清洁以减少或去除副产物沉积在反射板28上前所处理晶片的平均数。因为光必须通过光学地透明反射板主体而至反射涂层且接着沿光线发射出去的路径经由光学地透明反射板主体而反射回去,具有面对底板表面(即,后侧37或第二表面)的反射涂层的光学地透明的反射板28继续变热。在操作期间保持的热量可通过在反射涂层35中具有掺杂剂(如稀土元素)或具有较高的氢氧基浓度来调整。如果光学地透明的反射板28具有较高的氢氧基含量,则反射板28将吸收更多的辐射能。
在一替代实施例中,反射板28的主体由不透明陶瓷所制成。在此实施例中,如果反射涂层35置于反射板28的顶侧29上,光将从涂层反射。此可通过避免在早先的加热处理中不平均加热晶片,而有助于允许反射板28保持较冷。陶瓷(如,石英或蓝宝石)在大温度范围(如在约22℃至约800℃的范围中)具有低的热膨胀系数。因此,相较于铝反射板,反射涂层可较轻易地施加。相较于铝或不锈钢,涂层倾向较易于粘着至陶瓷材料(如,石英)。依据一个或多个实施例,反射涂层具有约400℃的最大操作温度(相较于在铝反射板上的反射涂层而言,为约200℃)。再次地,因为较高的操作温度能力,晶片副产物沉积可减少或接近消除。
反射涂层35可为任何种类的材料。提供具有反射层的薄层的窗口用于在特定范围的波长中反射的处理和服务的提供者为已知。此涂布服务的一个提供者如JDSUniphase。一般而言,可使用在反射涂层35中的材料可为高指数或低指数的介电材料的任何结合的替代层,这些介电材料对大部分从热源散发的辐射为实质透明,如,二氧化钛-二氧化硅或五氧化二钽-二氧化硅。在一个实施例中,反射层由SiO2和Ta2O5层所制成。在另一个实施例中,反射层由SiO2和TiO2所制成。在一特定实施例中,最外层包含SiO2。
在一个实施例中,层可包括具有多(薄)层不同折射指数的光学地透明材料,折射指数有时称为介质镜(dielectricmirror)。多层介质镜可如反射过滤器而运作,其中辐射被反射。辐射可在其它元件上依据于辐射波长、辐射的入射角、所施加介电材料的特性(包括所施加介电材料的折射指数)、每层的厚度、具有不同厚度的层数及层的配置而选择地反射。
此说明书从头到尾所指的“一个实施例”、“一个或多个实施例”或“一实施例”意指结合该实施例所述的特定特征、结构、材料或特性被包括在本发明的至少一个实施例中。因此,此说明书从头到尾出现在各个位置的短语如“在一个或多个实施例中”、“在一些实施例中”、“在一个实施例中”或“在一实施例中”不必然指本发明的相同实施例。此外,特定的特征、结构、材料或特性可在一个或多个实施例中以合适的方式作结合。
尽管本发明于此已参照特定实施例作说明,应理解,这些实施例仅作为解释本发明的原理和应用。对本领域技术人员而言,可对本发明的方法及设备所作的各种修改及变化显而易见,且不背离本发明的精神和范围。举例来说,尽管本发明已关于特定种类的加热灯,其它的变化例也为可行。因此,本发明意欲包括于所附权利要求书和权利要求书的等效物的范围内修改和变化。

Claims (20)

1.一种用于处理基板的设备,所述基板具有前侧和后侧,所述设备包括:
处理区,所述处理区位于腔室内,所述腔室由邻近辐射加热源的窗口而限定于一侧上,所述辐射加热源位于所述处理区的外侧;及
反射板,所述反射板相对所述辐射加热源而设置,所述反射板包括由陶瓷材料所制成的主体和位于所述反射板的一侧上的反射涂层,和延伸经过至少所述反射涂层的多个孔。
2.如权利要求1所述的设备,其中所述陶瓷材料包含光学地透明的陶瓷。
3.如权利要求2所述的设备,其中所述光学地透明的陶瓷选自氧化铝、碳化硅、石英和蓝宝石。
4.如权利要求3所述的设备,其中所述反射板的所述侧具有最接近所述辐射加热源的第一表面和最远离所述辐射加热源的第二表面,所述第二表面具有所述涂层于所述第二表面上。
5.如权利要求4所述的设备,其中所述孔间隔开以容纳高温计探针。
6.如权利要求5所述的设备,其中所述孔仅延伸经过所述反射涂层。
7.如权利要求5所述的设备,其中所述反射板安装于底板以提供反射板组件。
8.如权利要求7所述的设备,其中所述反射板和所述底板以低于约5mm而间隔。
9.如权利要求7所述的设备,其中所述反射板和所述底板直接接触且未间隔。
10.如权利要求8所述的设备,其中所述反射板组件包括支架以将所述反射板和所述底板以一分隔关系而分离。
11.如权利要求1所述的设备,其中所述反射涂层包括多个介电层。
12.如权利要求1所述的设备,其中所述陶瓷材料包含掺杂剂以增加由所述反射板所吸收的热量。
13.如权利要求11所述的设备,其中所述掺杂剂选自稀土材料、氢氧基和上述材料的结合。
14.如权利要求9所述的设备,其中所述底板包括多个开口,所述开口与在所述反射板中的所述孔对齐。
15.一种用于快速热处理腔室的反射板组件设备,所述反射板组件设备包括:
底板,所述底板具有穿过所述底板的多个开口以容纳高温计探针;及
反射板,所述反射板包括由陶瓷材料所制成的主体和位于所述反射板的一侧上的反射涂层,和延伸经过至少所述反射涂层的多个孔,所述孔与经过所述底板的所述开口对齐,其中所述反射板组设至所述底板,使得在所述底板中的所述开口和所述反射板中的所述孔对齐。
16.如利要求15所述的设备,其中所述底板包括多个支架以将所述反射板和所述底板以一分隔关系而维持。
17.如利要求15所述的设备,其中具有所述涂层的所述侧面对所述底板。
18.如利要求17所述的设备,其中所述陶瓷材料为光学地透明。
19.如利要求18所述的设备,其中所述陶瓷材料选自氧化铝、碳化硅、石英和蓝宝石。
20.如利要求18所述的设备,其中所述陶瓷材料包含掺杂剂以增加由所述反射板所吸收的热量,其中所述掺杂剂选自稀土材料、氢氧基和上述材料的结合。
CN201510817798.3A 2010-08-09 2011-08-09 用于快速热处理腔室的透明反射板 Active CN105374717B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US37179210P 2010-08-09 2010-08-09
US61/371,792 2010-08-09
US13/184,895 2011-07-18
US13/184,895 US9449858B2 (en) 2010-08-09 2011-07-18 Transparent reflector plate for rapid thermal processing chamber
CN201180038683.4A CN103109359B (zh) 2010-08-09 2011-08-09 用于快速热处理腔室的透明反射板

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180038683.4A Division CN103109359B (zh) 2010-08-09 2011-08-09 用于快速热处理腔室的透明反射板

Publications (2)

Publication Number Publication Date
CN105374717A true CN105374717A (zh) 2016-03-02
CN105374717B CN105374717B (zh) 2019-03-19

Family

ID=45568149

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180038683.4A Active CN103109359B (zh) 2010-08-09 2011-08-09 用于快速热处理腔室的透明反射板
CN201510817798.3A Active CN105374717B (zh) 2010-08-09 2011-08-09 用于快速热处理腔室的透明反射板

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201180038683.4A Active CN103109359B (zh) 2010-08-09 2011-08-09 用于快速热处理腔室的透明反射板

Country Status (4)

Country Link
US (1) US9449858B2 (zh)
KR (2) KR101974092B1 (zh)
CN (2) CN103109359B (zh)
WO (1) WO2012021464A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110119072A (zh) * 2018-02-06 2019-08-13 志圣科技(广州)有限公司 曝光组件及曝光装置

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) * 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
JP5505446B2 (ja) * 2012-03-19 2014-05-28 ウシオ電機株式会社 フラッシュランプ
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5937524B2 (ja) * 2013-02-01 2016-06-22 アイシン高丘株式会社 赤外炉、赤外線加熱方法およびそれを用いて製造された鋼板
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9832816B2 (en) 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
US10410890B2 (en) 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101572662B1 (ko) * 2013-12-18 2015-11-27 에이피시스템 주식회사 기판 처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10727093B2 (en) * 2014-05-23 2020-07-28 Applied Materials, Inc. Light pipe window structure for low pressure thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
FI127179B (fi) * 2015-09-15 2017-12-29 Outotec Finland Oy Menetelmä ja järjestely uuniprosessin ominaisuuksien seuraamiseksi ja prosessiseurantayksikkö
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180042400A (ko) * 2016-09-20 2018-04-25 루마센스 테크놀로지스 홀딩스, 인코포레이티드 온도 프로브
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10704147B2 (en) * 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220028216A (ko) 2020-08-28 2022-03-08 (주)위지트 열처리 챔버용 반사 플레이트
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11828656B2 (en) * 2020-11-20 2023-11-28 Applied Materials, Inc. Reflector plate for substrate processing
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115351020B (zh) * 2022-08-17 2024-05-17 长鑫存储技术有限公司 一种半导体设备自清洁方法、系统及装置
US20240079252A1 (en) * 2022-09-06 2024-03-07 Applied Materials, Inc. Reflector plate for substrate processing
CN116676585A (zh) * 2023-05-11 2023-09-01 北京屹唐半导体科技股份有限公司 提高控温准确性的方法、复合涂层和热处理反应装置
CN116504685B (zh) * 2023-06-28 2023-09-15 盛吉盛半导体科技(北京)有限公司 一种红外测温探头校准装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5226732A (en) * 1992-04-17 1993-07-13 International Business Machines Corporation Emissivity independent temperature measurement systems
US5820261A (en) * 1995-07-26 1998-10-13 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a rapid thermal processing system
US6237529B1 (en) * 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
CN101667531A (zh) * 2008-09-05 2010-03-10 东京毅力科创株式会社 纵型热处理装置以及基板支承器具

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US6133550A (en) 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
DE69801731T2 (de) * 1997-03-25 2002-07-04 Heraeus Quarzglas Optisches system zur herstellung integrierter schaltungen
US6027244A (en) * 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US6572700B2 (en) 1997-12-26 2003-06-03 Sumitomo Electric Industries, Ltd. Semiconductor crystal, and method and apparatus of production thereof
JP2001297994A (ja) 2000-04-18 2001-10-26 Dainippon Screen Mfg Co Ltd 熱処理装置
US20020011205A1 (en) * 2000-05-02 2002-01-31 Shunpei Yamazaki Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
US6805466B1 (en) * 2000-06-16 2004-10-19 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
US6970644B2 (en) * 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
JP4948701B2 (ja) * 2000-12-28 2012-06-06 東京エレクトロン株式会社 加熱装置、当該加熱装置を有する熱処理装置、及び、熱処理制御方法
WO2002095803A1 (de) 2001-05-23 2002-11-28 Mattson Thermal Products Gmbh Verfahren und vorrichtung zum thermischen behandeln von substraten
US6740196B2 (en) * 2002-02-21 2004-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. RTA chamber with in situ reflective index monitor
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US7041931B2 (en) * 2002-10-24 2006-05-09 Applied Materials, Inc. Stepped reflector plate
US7115837B2 (en) * 2003-07-28 2006-10-03 Mattson Technology, Inc. Selective reflectivity process chamber with customized wavelength response and method
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US20060127067A1 (en) * 2004-12-13 2006-06-15 General Electric Company Fast heating and cooling wafer handling assembly and method of manufacturing thereof
US7312422B2 (en) * 2006-03-17 2007-12-25 Momentive Performance Materials Inc. Semiconductor batch heating assembly
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
US8367983B2 (en) 2008-04-09 2013-02-05 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
US8548311B2 (en) * 2008-04-09 2013-10-01 Applied Materials, Inc. Apparatus and method for improved control of heating and cooling of substrates
US8283607B2 (en) * 2008-04-09 2012-10-09 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
US20090298300A1 (en) * 2008-05-09 2009-12-03 Applied Materials, Inc. Apparatus and Methods for Hyperbaric Rapid Thermal Processing
US7758238B2 (en) * 2008-06-30 2010-07-20 Intel Corporation Temperature measurement with reduced extraneous infrared in a processing chamber
US8294068B2 (en) 2008-09-10 2012-10-23 Applied Materials, Inc. Rapid thermal processing lamphead with improved cooling
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5226732A (en) * 1992-04-17 1993-07-13 International Business Machines Corporation Emissivity independent temperature measurement systems
US5820261A (en) * 1995-07-26 1998-10-13 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a rapid thermal processing system
US6237529B1 (en) * 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
CN101667531A (zh) * 2008-09-05 2010-03-10 东京毅力科创株式会社 纵型热处理装置以及基板支承器具

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110119072A (zh) * 2018-02-06 2019-08-13 志圣科技(广州)有限公司 曝光组件及曝光装置
CN110119072B (zh) * 2018-02-06 2021-05-14 志圣科技(广州)有限公司 曝光组件及曝光装置

Also Published As

Publication number Publication date
WO2012021464A3 (en) 2012-05-10
WO2012021464A2 (en) 2012-02-16
CN103109359B (zh) 2015-12-16
CN105374717B (zh) 2019-03-19
KR20130087530A (ko) 2013-08-06
KR101855091B1 (ko) 2018-05-08
KR20180049201A (ko) 2018-05-10
US9449858B2 (en) 2016-09-20
KR101974092B1 (ko) 2019-04-30
US20120070136A1 (en) 2012-03-22
CN103109359A (zh) 2013-05-15

Similar Documents

Publication Publication Date Title
CN103109359B (zh) 用于快速热处理腔室的透明反射板
EP2279519B1 (en) Apparatus and method including heating source reflective filter for pyrometry
TWI601233B (zh) 用於急速熱處理的最小接觸邊緣環
US8367983B2 (en) Apparatus including heating source reflective filter for pyrometry
CN102576676A (zh) 用于改善控制加热和冷却基板的设备与方法
US10147623B2 (en) Pyrometry filter for thermal process chamber
JP2015536048A (ja) 改善されたエッジリングリップ
CN107731718A (zh) 用于热处理腔室的支撑圆柱
US20240175753A1 (en) Reflector plate for substrate processing
TWI545654B (zh) 用於快速熱處理腔之透明反射板
US20240079252A1 (en) Reflector plate for substrate processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant