CN104611683A - 为原子层沉积(ald)工艺供应前体的系统和方法 - Google Patents

为原子层沉积(ald)工艺供应前体的系统和方法 Download PDF

Info

Publication number
CN104611683A
CN104611683A CN201410047902.0A CN201410047902A CN104611683A CN 104611683 A CN104611683 A CN 104611683A CN 201410047902 A CN201410047902 A CN 201410047902A CN 104611683 A CN104611683 A CN 104611683A
Authority
CN
China
Prior art keywords
gas
sediment chamber
supply
precursor
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410047902.0A
Other languages
English (en)
Other versions
CN104611683B (zh
Inventor
谢博全
黄建国
黄泰钧
许光源
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104611683A publication Critical patent/CN104611683A/zh
Application granted granted Critical
Publication of CN104611683B publication Critical patent/CN104611683B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45593Recirculation of reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供了为原子层沉积(ALD)工艺供应前体材料的系统和方法。气体供给部将一种或多种前体材料提供给沉积室。沉积室通过输入管线接收一种或多种前体材料。气体循环系统连接至沉积室的输出管线。气体循环系统包括气体成分检测系统,该气体成分检测系统被配置为产生表示通过输出管线离开沉积室的气体的成分的输出信号。气体循环系统也包括循环管线,该循环管线被配置为将离开沉积室的气体传输至输入管线。控制器连接至气体供给部。该控制器基于气体成分检测系统的输出信号控制气体供给部的一种或多种前体材料的供给。

Description

为原子层沉积(ALD)工艺供应前体的系统和方法
技术领域
本发明所描述的技术一般地涉及原子层沉积(ALD)工艺,更具体地,涉及减少用于ALD工艺的前体材料的量的系统和方法。
背景技术
集成电路的制造过程中的半导体处理可以包括在半导体衬底上沉积层。执行这种沉积的示例性工艺可以包括化学汽相沉积(CVD)工艺和原子层沉积(ALD)工艺等。可以在沉积室内进行CVD和ALD工艺,沉积室在晶圆保持器上保持一个或多个衬底。在ALD工艺中,可以将一种或多种前体气体提供给沉积室内的喷头,其中,喷头可以将一种或多种前体气体均匀地提供在衬底的外表面上方。一种或多种前体气体可以发生反应或以其他方式将层基本沉积在衬底上方。在ALD工艺中可以使用或不使用等离子体增强。如果使用等离子体增强,则可以产生等离子体且将其保持在室内或远离室。
发明内容
本发明涉及为原子层沉积(ALD)工艺供应前体材料的系统和方法。为ALD工艺供应前体材料的系统包括给沉积室提供一种或多种前体材料的气体供给部。沉积室通过沉积室的输入管线接收一种或多种前体材料。该系统也包括连接至沉积室的输出管线的气体循环系统,气体循环系统包括气体成分检测系统,被配置为产生表示通过输出管线离开沉积室的气体的成分的输出信号。气体循环系统也包括被配置为将离开沉积室的气体传输至输入管线的循环管线。该循环管线使得离开沉积室的气体被传输回沉积室。该系统还包括连接至气体供给部的控制器。该控制器基于气体成分检测系统的输出信号控制气体供给部的一种或多种前体材料的供给。
在另一个实例中,为ALD工艺供应前体材料的系统包括为沉积室提供一种或多种前体材料的气体供给部。该系统也包括连接至沉积室的输出管线的气体循环系统。该气体循环系统被配置为将离开沉积室的气体传输至沉积室的输入管线,其中,气体循环系统使得离开沉积室的气体被传输回沉积室。该系统也包括连接至气体循环系统的过滤器,其中,该过滤器减少了被传输回沉积室的气体中的污染物。该系统还包括连接至输出管线的气体成分检测系统。该气体成分检测系统被配置为产生表示离开沉积室的气体的成分的输出信号。净化气体输送系统被配置为通过多条净化管线输送净化气体至沉积室。该系统也包括连接至气体供给部的控制器,其中,该控制器控制到达沉积室的一种或多种前体材料的供给。
在又一个实例中,在为ALD工艺供应前体材料的方法中,为沉积室提供一种或多种前体材料。监测离开沉积室的气体的成分。通过循环系统将离开沉积室的气体传输回沉积室。基于监测到的离开沉积室的气体的成分控制一种或多种前体材料的供给以及回到沉积室的气体的传输。
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种为原子层沉积(ALD)工艺供应前体材料的系统,所述系统包括:气体供给部,用于给沉积室提供一种或多种前体材料,所述沉积室通过所述沉积室的输入管线接收所述一种或多种前体材料;气体循环系统,连接至所述沉积室的输出管线,所述气体循环系统包括:气体成分检测系统,被配置为产生表示通过所述输出管线离开所述沉积室的气体的成分的输出信号,和循环管线,被配置为将离开所述沉积室的气体传输至所述输入管线,所述循环管线使得离开所述沉积室的气体被传输回所述沉积室;以及控制器,连接至所述气体供给部,所述控制器基于所述气体成分检测系统的所述输出信号来控制所述气体供给部对所述一种或多种前体材料的供给。
在该系统中,所述气体循环系统还包括过滤器,所述过滤器被配置为在将所述气体传输回所述沉积室之前,去除离开所述沉积室的气体中的污染物或颗粒。
在该系统中,所述气体循环系统还包括旁路管线,所述旁路管线允许离开所述沉积室的气体在不通过所述过滤器的情况下被传输回所述沉积室。
在该系统中,所述旁路管线被配置为启用和禁用,当启用所述旁路管线时,离开所述沉积室的气体不通过所述过滤器,而当禁用所述旁路管线时,离开所述沉积室的气体通过所述过滤器。
在该系统中,通过控制阀门来启用和禁用所述旁路管线,并且基于所述气体成分检测系统的所述输出信号启用和禁用所述旁路管线。
在该系统中,所述气体循环系统还包括循环阀门,所述循环阀门被配置为打开和关闭所述循环管线,当通过所述循环阀门打开所述循环管线时,离开所述沉积室的气体被传输回所述沉积室,以及当通过所述循环阀门关闭所述循环管线时,离开所述沉积室的气体不被传输回所述沉积室。
该系统还包括:供给管线,连接至所述气体供给部和所述输入管线,所述供给管线被配置为将所述一种或多种前体材料从所述气体供给部传输至所述输入管线,所述供给管线包括供给阀门,并且所述供给阀门被配置为打开和关闭所述供给管线。
在该系统中,打开和关闭所述循环阀门和所述供给阀门以控制经过所述输入管线进入所述沉积室的气体的成分,并且基于所述气体成分检测系统的所述输出信号打开和关闭所述循环阀门和所述供给阀门。
该系统还包括:净化气体输送系统,所述净化气体输送系统被配置为通过一条或多条净化管线将净化气体输送至所述沉积室。
在该系统中,基于所述气体成分检测系统的输出信号控制所述净化气体输送系统。
在该系统中,所述净化气体输送系统被配置为控制所述沉积室中的气体的流量。
在该系统中,通过多条净化管线将所述净化气体输送给所述沉积室。
在该系统中,同时操作所述多条净化管线。
在该系统中,所述气体成分检测系统包括傅里叶变换红外光谱(FTIR)系统、非色散红外传感器(NDIR)系统或Piezocon气体浓度传感器(PZC)系统。
在该系统中,所述气体供给部包括多个气体源,并且所述控制器控制将所述多个气体源中的哪个气体源提供给所述沉积室。
根据本发明的另一方面,提供了一种为原子层沉积(ALD)工艺供应前体材料的系统,所述系统包括:气体供给部,用于为沉积室提供一种或多种前体材料;气体循环系统,连接至所述沉积室的输出管线,所述气体循环系统被配置为将离开所述沉积室的气体传输至所述沉积室的输入管线,其中,所述气体循环系统使得所述离开所述沉积室的气体被传输回所述沉积室;过滤器,连接至所述气体循环系统,所述过滤器减少了被传输回所述沉积室的气体中的污染物;气体成分检测系统,连接至所述输出管线,所述气体成分检测系统被配置为产生表示离开所述沉积室的气体的成分的输出信号;净化气体输送系统,所述净化气体输送系统被配置为通过多条净化管线将净化气体输送至所述沉积室;以及控制器,连接至所述气体供给部,所述控制器控制到达所述沉积室的所述一种或多种前体材料的供给。
在该系统中,所述控制器基于所述气体成分检测系统的输出信号控制到达所述沉积室的所述一种或多种前体材料的供给。
在该系统中,所述气体循环系统包括旁路管线,并且所述旁路管线使得离开所述沉积室的气体在不通过所述过滤器的情况下被传输回所述沉积室。
根据本发明的又一方面,提供了一种为原子层沉积(ALD)工艺供应前体材料的方法,所述方法包括:给沉积室提供一种或多种前体材料;监测离开所述沉积室的气体的成分;通过循环系统将离开所述沉积室的气体传输回所述沉积室;以及控制i)所述一种或多种前体材料的供给,以及ii)基于监测到的离开所述沉积室的气体的成分控制回到所述沉积室的气体的传输。
该方法还包括:通过多条净化管线将净化气体输送至所述沉积室,其中,基于监测到的离开所述沉积室的气体的成分控制所述输送。
附图说明
图1示出了为原子层沉积(ALD)工艺供应前体材料的示例性系统;
图2示出了为ALD工艺的沉积室供应前体材料的示例性系统,其中,该示例性系统可以包括气体循环系统,该气体循环系统用于使得离开沉积室的气体被传输回沉积室;
图3示出了可以用于在衬底或其他结构上形成沉积层的示例性沉积系统;
图4是示出为ALD工艺供应前体材料的示例性方法的流程图。
具体实施方式
图1示出了为原子层沉积(ALD)工艺供应前体材料的示例性系统100。图1的示例性系统100可以包括给沉积室104供应一种或多种前体材料的气体供给部102。在一个实例中,气体供给部102可以包括多个气罐,其中,多个气罐可以用于供应在ALD工艺中所使用的不同的前体材料。气体供给部102可以通过沉积室的输入管线106给沉积室104供应一种或多种前体材料。尽管图1的实例中的输入管线106被示出为开放式的,使得输入管线106可以连接至各种其他外部系统(例如,泵系统、其他气体供给系统等),但在其他实例中,输入管线106可以直接连接至气体供给部102,使得其他外部系统不能连接至输入管线106。气体供给部102可以通过将输入管线106连接至气体供给部102的供给管线103而将一种或多种前体材料供应给输入管线106。
如下文中参考图3更详细地描述的,沉积室104可以包括在其上可以放置衬底的安装平台或其他硬件。图1的示例性系统100可以用于在衬底上形成一个或多个沉积层。沉积室104可以包括允许气体和其他物质离开沉积室104的输出管线110。例如,输出管线110可以包括允许气体和其他物质离开沉积室104的排出口。在一些实例中,真空泵可以连接至输出管线110以帮助从沉积室104排出气体和其他物质。这种真空泵也可以用于将沉积室104内的压力降低至且控制为期望压力。离开沉积室104的气体和其他物质可以包括通过输入管线106引入到沉积室104的一种或多种前体材料。
气体循环系统108可以连接至沉积室104的输出管线110。气体循环系统108可以用于减少在ALD工艺中使用的一种或多种前体材料的量。例如,在不使用气体循环系统108的传统系统中,一种或多种前体材料可能通过输出管线110从沉积室104排出并且直接排向排气系统(例如,包括过滤系统的向大气环境排气的典型的排气系统)。因为大量的前体材料可能在离开沉积室104之后被丢弃,所以这种传统的系统要使用大量的一种或多种前体材料。相比之下,包括气体循环系统108的系统100可以用于降低ALD工艺中的前体浪费,因此也降低了生产成本。
气体循环系统108可以通过提供连接在气体循环系统108和输入管线106之间的循环管线116来降低前体浪费。循环管线116可以被配置为将离开沉积室104的气体传输至输入管线106,从而可以使离开沉积室104的气体被传输回沉积室104。用这种方式,通过使前体气体循环回到沉积室中而不是将它们送至排气系统而被丢弃,气体循环系统108可以减少ALD系统100中的前体浪费。
除了包括循环管线116以外,连接至沉积室104的输出管线110的气体循环系统108也可以包括气体成分检测系统112。气体成分检测系统112可以被配置为监测离开沉积室104的气体并且制造表示气体成分的输出信号。气体成分检测系统112可以包括一个或多个不同的监测组件,例如,包括傅里叶变换红外光谱(FTIR)系统、非色散红外传感器(NDIR)系统或Piezocon气体浓度传感器(PZC)系统。各种其他类型的气体成分监测系统可以用于气体成分检测系统112中。
气体循环系统108,尤其是包括在其中的气体成分检测系统112可以通过连接件114(例如,电气连接、光学连接等)连接至控制器118。如图1的实例所示,控制器118也可以连接至气体供给部102。控制器118可以控制通过气体供给部102到达沉积室104的一种或多种前体材料的供给。具体地,控制器118可以基于气体成分检测系统112的输出信号控制一种或多种前体材料的供给,其中,可以通过连接件114将输出信号提供给控制器118。因此,例如,控制器118可以接收气体成分检测系统112的输出信号,其中该输出信号可以表示在离开沉积室104的气体中特定的前体材料不足量。基于该输出信号,控制器118可以控制气体供给部102以使更多的特定前体材料进入沉积室104。
在该实例中,气体成分检测系统112因此可以给控制器118提供反馈信号,使得可以控制室104中的气体的成分。在其他实例中,气体成分检测系统112可以不连接至控制器118,并且在这种实例中,控制器118可以用于在没有反馈信号的情况下控制到达室104的前体材料的供给。在气体成分检测系统112不连接至控制器118的这种系统中,气体成分检测系统112可以用于其他目的。例如,气体成分检测系统112可以用于监测离开室104的气体的成分,以确定是否应该通过循环管线116将该气体传输回室104。
图2示出了为ALD工艺的沉积室204供应前体材料的示例性系统200,其中该示例性系统200可以包括使离开沉积室204的气体被传输回沉积室204的气体循环系统208。图2的示例性系统200可以包括与图1的示例性系统100中所包括的那些组件类似的组件。例如,示例性系统200可以包括用于通过供给管线203给沉积室204供应一种或多种前体材料的气体供给部202。供给管线203可以连接至沉积室204的输入管线206,使得一种或多种前体材料通过输入管线206进入室204。沉积室204可以包括用于支撑衬底的适合的硬件并且也可以包括允许气体(例如,一种或多种前体材料、净化气体等)和其他物质离开沉积室204的输出管线210。
气体循环系统208可以连接至沉积室204的输出管线210,其中气体循环系统208可以用于减少在ALD工艺中使用的一种或多种前体材料的量。气体循环系统208可以通过提供连接在气体循环系统208和输入管线206之间的循环管线216来降低前体浪费。循环管线216可以被配置为将离开沉积室204的气体传输至输入管线206,从而可以使离开沉积室204的气体通过输入管线206被传输回沉积室204。
气体循环系统208也可以包括气体成分检测系统212。气体成分检测系统212可以被配置为监测离开沉积室204的气体并且产生表示该气体的成分的输出信号。气体成分检测系统212可以通过连接件214(例如,电气连接、光学连接等)连接至控制器218。控制器218也可以连接至气体供给部202并且可以控制通过气体供给部202到达沉积室204的一种或多种前体材料的供给。控制器218可以基于气体成分检测系统212的输出信号控制一种或多种前体材料的供给。
气体循环系统208还可以包括过滤器230,其中过滤器230被配置为去除离开沉积室204的气体中的污染物或颗粒。可以在通过循环管线216将气体传输回沉积室204之前,通过过滤器230去除离开沉积室204的气体中的污染物或颗粒。通过过滤器230所去除的污染物或颗粒可以存储在过滤器230中或可以通过过滤器230的管线238被排出或以其他方式被丢弃。
在一些情况下,可以确定过滤器230并不是必要的(例如,离开沉积室204的气体是相对无颗粒的)。例如,可以基于由气体成分检测系统212所产生的信号来进行判定。该信号可以确定过滤器230是必要还是不必要的,该信号可以是表示提供给控制器218的气体的成分的输出信号,或者该信号可以是由气体成分检测系统212所产生的不同信号。在另一个实例中,是否使用过滤器230的判定可以是由系统200的操作者人工地做出的判定,或可以使用不同的(例如,外部的)系统或组件(在图2的实例中未示出)来做出该判定。
当不使用过滤器230去除离开沉积室204的气体中的污染物或颗粒时,可以使用旁路管线222。旁路管线222可以允许离开沉积室204的气体在不通过过滤器230的情况下被传输回沉积室204(即,通过循环管线216)。可以启用或禁用旁路管线222。当启用旁路管线222时,离开沉积室204的气体可以不通过过滤器230,而当禁用旁路管线222时,离开沉积室204的气体可以通过过滤器230。
可以通过控制阀门234和236来启用和禁用旁路管线222。例如,当打开旁路管线222上的阀门234,且关闭阀门236时,可以启用旁路管线222,使得在将气体循环回到室204的过程中不使用过滤器230。相比之下,当关闭旁路管线222上的阀门234,且打开阀门236时,可以禁用旁路管线222,使得在气体循环回到室204之前使用过滤器230去除污染物或颗粒。在另一个实例中,可以打开阀门234和236,因此导致离开室204的一些气体被过滤,而离开室204的一些气体没有被过滤。
可以基于由气体成分检测系统212所产生的输出信号来控制阀门234和236。例如,气体成分检测系统212可以确定离开沉积室204的气体是相对无颗粒的并且可以产生使阀门234打开而阀门236关闭的输出信号,从而启用旁路管线222且禁用过滤器230。可选地,气体成分检测系统212可以确定离开沉积室204的气体需要过滤并且可以产生使得阀门234关闭而阀门236打开的输出信号,因此禁用旁路管线222且启用过滤器230。
图2的示例性系统200还可以包括连接至沉积室204的净化气体输送系统232。净化气体输送系统232可以用于通过一条或多条净化管线248将净化气体输送至沉积室204。净化气体输送系统232可以包括给沉积室204提供诸如氩气、氮气、氙气或其他非活性气体的净化气体的气罐或其他设施。在一个实例中,多条净化管线248用于将净化气体输送至沉积室204。与仅有单条净化管线相反,使用多条净化管线248可以提高示例性系统200中的净化效率。
来自净化气体输送系统232的净化气体的输送可以用于保持沉积室204中的清洁度(例如,从沉积室204中去除污染物、颗粒以及其他不期望的物质)以及控制沉积室204中的气体的流量。例如,气体的流量的控制可以用于去除沉积室204中的前体材料。例如,在使用多种前体材料的示例性ALD工艺中,可以在第一时间段内将第一前体材料引入到室204中。在将第二前体材料引入室204之前,净化气体输送系统232可以用于去除室204中的第一前体材料。
示例性系统200中也可以包括真空泵240,以将压差施加给沉积室204从而帮助从沉积室204中去除气体和其他物质。因此,由净化气体输送系统232所提供的净化气体与真空泵240一起可以用于净化沉积室204中的前体材料以及其他气体和物质。在一个实例中,基于气体成分检测系统212的输出信号控制净化气体输送系统232。例如,控制净化气体输送系统232的气体成分检测系统212的输出信号可以是表示通过输出管线210离开沉积室204的气体的成分的输出信号。
图3示出了可以用于在衬底或其他结构上形成沉积层的示例性沉积系统300。使用诸如原子层沉积(ALD)的沉积工艺,在图3的示例性系统300中可以形成沉积层。在系统300中,沉积室316可以通过供给管线312从气体供给部301接收前体材料。气体供给部301可以用于将多种前体材料输送至沉积室316并且因此可以理解为包括多个不同的前体输送系统。在图3的实例中,可以从气体供给部301将三种不同的前体材料输送至沉积室316,并且气体供给部301可以理解为包括三个不同的前体输送系统。三个不同的前体输送系统可以相互结合地进行工作以将各种不同的前体材料供应给沉积室316。
如图3所示,每个前体输送系统均可以包括前体材料供给器302,其可以是储气罐、筒或基于需要产生前体材料的机器(例如,在将臭氧用作前体材料的一个实例中,前体材料供给器302可以包括浓缩器或可以根据需要产生臭氧的其他臭氧发生器)。每个前体输送系统都可以进一步包括气动阀门304和流量控制器306。流量控制器306可以用于控制到达沉积室316的前体材料的流量,因此可以有助于控制室316内的压力。例如,流量控制器316可以是定量阀、调节阀、针型阀、压力调节器、质量流量控制器、这些的组合等。
在其他实例中,每个前体输送系统还可以包括载气供给部,其中,载气供给部可以供应可用于帮助将前体气体输送至沉积室316的气体。载气可以是不与沉积室316内的前体材料或其他材料发生反应的惰性气体或其他气体。例如,载气可以是氦气(He)、氩气(Ar)、氮气(N2)、氢气(H2)、这些的组合等。在使用载气供给部的实例中,载气可以进入前体材料供给器302(例如,前体筒)并且向沉积室316输送气态前体材料。
在气体供给部301中所包括的前体输送系统可以连接至前体气体控制器308。前体输送系统可以使用前体材料供给器302、气动阀门304和流量控制器306将它们各自的前体材料供应给前体气体控制器308。前体气体控制器308可以连接至不同的前体输送系统并且将不同的前体输送系统与沉积室316隔离,以允许将期望前体材料输送至沉积室316。前体气体控制器308可以包括诸如阀门、流量计、传感器等的器件以控制每种前体的给料速度。如图3所示,前体气体控制器308可以连接至控制器340,并且可以通过从控制器340所接收的指令来控制前体气体控制器308。气体供给部301也可以连接至控制器340,并且控制器340可以通过控制气体供给部301的流量控制器306或其他组件来控制经由气体供给部301的前体材料的供给。
前体气体控制器308根据从控制器340接收的指令可以打开和关闭阀门,以将前体输送系统之一连接至沉积室316并且通过供给管线312将期望前体材料输送至室316。供给管线312可以连接至沉积室316的输入管线314,使得沉积室316通过输入管线314接收期望前体材料。沉积室316可以令前体材料与包括在沉积室316中的安装硬件318上所放置的衬底相接触(expose)。沉积室316可以是适合于分散前体材料且使前体材料与衬底相接触的任何期望形状。在一个实例中,沉积室316可以具有圆柱形的侧壁和底部,并且可以通过由不与各种前体材料发生反应的材料(例如,钢、不锈钢、镍、铝、这些材料的合金或这些材料的其他组合)制成的壳体来围绕该沉积室。
沉积室316可以具有输出管线320以允许前体材料以及其他气体和物质离开沉积室316。真空泵344可以连接至沉积室316的输出管线320以便帮助从室316排出前体材料、气体以及其他物质。真空泵344可以受控制器340的控制并且可以用于将沉积室316内的压力降低至并控制为期望压力。可以根据需要打开和关闭主阀门342,以允许真空泵344将压差施加给沉积室316。
也可以通过净化气体输送系统339帮助从沉积室316中排出前体材料以及其他气体和物质。净化气体输送系统339可以将净化气体输送至沉积室316。净化气体输送系统339可以包括将诸如氩气(Ar)、氮气(N2)或其他非活性气体的净化气体提供给沉积室316的气罐335或其他组件。净化气体输送系统还可以包括气动阀门336和流量控制器338(例如,质量流量控制器或其他类型的控制器)并且可以通过控制器340进行控制。净化气体输送系统339可以通过多条净化管线将净化气体输送至沉积室316。通过同时(例如,并行地)操作多条净化管线可以提高净化气体输送系统339的净化效率。
气体循环系统可以连接至沉积室316的输出管线320,其中,气体循环系统可以用于降低在沉积工艺中使用的前体材料的量。气体循环系统可以通过提供可以连接在沉积室316的输出管线320和输入管线314之间的循环管线328来降低前体浪费。循环管线328可以被配置为将离开沉积室316的气体传输至输入管线314,从而可以使得离开沉积室316的气体通过输入管线314被传输回沉积室316。
循环管线328可以包括循环阀门330,其中,循环阀门330可以被配置为打开和关闭循环管线328。当通过打开循环阀门330来打开循环管线328时,离开沉积室316的气体可以被传输回沉积室316。当通过关闭循环阀门330来关闭循环管线328时,离开沉积室的气体不能被传输回沉积室316。当以这种方式关闭循环管线328时,离开室316的气体可以从系统300中被排出并且通过真空泵344传输至排出系统或在不通过室316进行再循环的情况下以其他方式被迫离开系统300。
如上所述,供给管线312可以连接至沉积室316的输入管线314,使得沉积室316可以通过输入管线314接收前体材料。如图3所示,供给管线312可以包括供给阀门310,其中,供给阀门310可以用于打开和关闭供给管线312。可以打开和关闭循环阀门330和供给阀门310以控制通过输入管线314进入沉积室316的气体的成分。
在一个实例中,循环阀门330和供给阀门310的打开和关闭可以控制i)离开沉积室316的气体的量,以及ii)在通过输入管线314进入沉积室316的气体中的来自气体供给部301的前体材料的量。因此,可以打开和关闭循环阀门330和供给阀门310,以控制通过输入管线314进入沉积室316的气体的混合物,其中,该混合物可以仅包括来自气体供给部301的前体材料,仅包括通过输出管线320离开沉积室316的气体,或包括来自气体供给部301的前体材料和通过输出管线320离开沉积室316的气体的组合。
气体循环系统也可以包括气体成分检测系统346。气体成分检测系统346可以被配置为监测离开沉积室316的气体并且产生表示气体的成分的输出信号。气体成分检测系统346的输出信号可以用于决定离开沉积室316的气体应何时通过循环管线328循环回到室316中以及应何时通过净化气体输送系统339净化沉积室316。气体成分检测系统316可以包括一个或多个不同的监测组件,例如,包括傅里叶变换红外光谱(FTIR)系统、非色散红外传感器(NDIR)系统、或Piezocon气体浓度传感器(PZC)系统。在气体成分检测系统346中可以使用各种其他类型的气体成分监测系统。
气体成分检测系统346可以通过连接件332(例如,电气连接件、光学连接件等)连接至控制器340。如上所述,控制器340也可以连接至气体供给部301和前体气体控制器308。控制器340可以通过控制气体供给部301或前体气体控制器308来控制到达沉积室316的前体材料的供给。控制器340可以基于来自气体成分检测系统346的输出信号控制气体供给部301或前体气体控制器308。另外,在另一个实例中,可以基于来自气体成分检测系统346的输出信号打开和关闭循环阀门330和供给阀门310(例如,以控制通过输入管线314进入室316的气体的混合物,其中,该混合物可以包括来自气体供给部301的气体以及通过输出管线320离开室316的气体)。
气体循环系统还可以包括过滤器326,其中过滤器326被配置为去除离开沉积室316的气体中的污染物或颗粒。可以在通过循环管线328将气体传输回沉积室316之前,通过过滤器326去除污染物或颗粒。通过过滤器326去除的污染物或颗粒可以存储在过滤器326中或可以通过过滤器326的管线350排出或以其他方式被丢弃。
如果确定过滤器326是不必要的(例如,其中,可以基于由气体成分检测系统346所产生的信号来进行判定),则可以使用旁路管线322。旁路管线322可以允许离开沉积室316的气体在不通过过滤器326的情况下被传输回沉积室316(即,通过循环管线328)。可以通过控制阀门324和334来启用或禁用旁路管线322。例如,当打开旁路管线322上的阀门334且关闭阀门324时,可以启用旁路管线322,使得在将气体循环回到室316的过程中不使用过滤器326。相比之下,当关闭旁路管线322上的阀门334且打开阀门324时,可以禁用旁路管线322,使得在将气体循环回到室316之前,使用过滤器去除污染物或颗粒。可以基于由气体成分检测系统346所产生的输出信号来控制阀门324和334。例如,阀门324和334可以是气动阀门或其他类型的阀门。
图4是示出为原子层沉积(ALD)工艺供应前体材料的示例性方法的流程图400。在步骤402中,将一种或多种前体材料提供给沉积室。在步骤404中,监测离开沉积室的气体的成分。在步骤406中,通过循环系统将离开沉积室的气体传输回沉积室。在步骤408中,基于监测到的离开沉积室的气体的成分来控制一种或多种前体材料的供给和回到沉积室的气体的传输。
该书面说明使用多个实例来公开本发明,包括最佳的实施方式,并且也使得本领域的技术人员能够实现和使用本发明。本发明的专利范围可以包括其他实例。应该理解,除非上下文中另行明确规定,否则如此处说明书和后续的整个权利要求书中所使用的“一”“一个”和“这个”的含义包括复数引用。此外,除非上下文中另行明确规定,否则如此处说明书和后续的整个权利要求书中所使用的“在…中”的含义包括“在…中”和“在…上”。另外,除非上下文中另行明确规定,否则如此处说明书和后续的整个权利要求中所使用的“每个”的含义并不要求是“每个和每一个”。最后,除非上下文中另行明确规定,否则如此处说明书和后续的整个权利要求中所使用的“和”和“或”的含义包括两者均包括和两者择其一这两种含义并且可交换地使用;词组“除…以外”可以用于表示仅可以使用分离含义的情况。

Claims (10)

1.一种为原子层沉积(ALD)工艺供应前体材料的系统,所述系统包括:
气体供给部,用于给沉积室提供一种或多种前体材料,所述沉积室通过所述沉积室的输入管线接收所述一种或多种前体材料;
气体循环系统,连接至所述沉积室的输出管线,所述气体循环系统包括:
气体成分检测系统,被配置为产生表示通过所述输出管线离开所述沉积室的气体的成分的输出信号,和
循环管线,被配置为将离开所述沉积室的气体传输至所述输入管线,所述循环管线使得离开所述沉积室的气体被传输回所述沉积室;
以及
控制器,连接至所述气体供给部,所述控制器基于所述气体成分检测系统的所述输出信号来控制所述气体供给部对所述一种或多种前体材料的供给。
2.根据权利要求1所述的系统,其中,所述气体循环系统还包括过滤器,所述过滤器被配置为在将所述气体传输回所述沉积室之前,去除离开所述沉积室的气体中的污染物或颗粒。
3.根据权利要求2所述的系统,其中,所述气体循环系统还包括旁路管线,所述旁路管线允许离开所述沉积室的气体在不通过所述过滤器的情况下被传输回所述沉积室。
4.根据权利要求3所述的系统,其中,所述旁路管线被配置为启用和禁用,当启用所述旁路管线时,离开所述沉积室的气体不通过所述过滤器,而当禁用所述旁路管线时,离开所述沉积室的气体通过所述过滤器。
5.根据权利要求4所述的系统,其中,通过控制阀门来启用和禁用所述旁路管线,并且基于所述气体成分检测系统的所述输出信号启用和禁用所述旁路管线。
6.根据权利要求1所述的系统,其中,
所述气体循环系统还包括循环阀门,所述循环阀门被配置为打开和关闭所述循环管线,
当通过所述循环阀门打开所述循环管线时,离开所述沉积室的气体被传输回所述沉积室,以及
当通过所述循环阀门关闭所述循环管线时,离开所述沉积室的气体不被传输回所述沉积室。
7.根据权利要求6所述的系统,还包括:
供给管线,连接至所述气体供给部和所述输入管线,所述供给管线被配置为将所述一种或多种前体材料从所述气体供给部传输至所述输入管线,所述供给管线包括供给阀门,并且所述供给阀门被配置为打开和关闭所述供给管线。
8.根据权利要求7所述的系统,其中,打开和关闭所述循环阀门和所述供给阀门以控制经过所述输入管线进入所述沉积室的气体的成分,并且基于所述气体成分检测系统的所述输出信号打开和关闭所述循环阀门和所述供给阀门。
9.一种为原子层沉积(ALD)工艺供应前体材料的系统,所述系统包括:
气体供给部,用于为沉积室提供一种或多种前体材料;
气体循环系统,连接至所述沉积室的输出管线,所述气体循环系统被配置为将离开所述沉积室的气体传输至所述沉积室的输入管线,其中,所述气体循环系统使得所述离开所述沉积室的气体被传输回所述沉积室;
过滤器,连接至所述气体循环系统,所述过滤器减少了被传输回所述沉积室的气体中的污染物;
气体成分检测系统,连接至所述输出管线,所述气体成分检测系统被配置为产生表示离开所述沉积室的气体的成分的输出信号;
净化气体输送系统,所述净化气体输送系统被配置为通过多条净化管线将净化气体输送至所述沉积室;以及
控制器,连接至所述气体供给部,所述控制器控制到达所述沉积室的所述一种或多种前体材料的供给。
10.一种为原子层沉积(ALD)工艺供应前体材料的方法,所述方法包括:
给沉积室提供一种或多种前体材料;
监测离开所述沉积室的气体的成分;
通过循环系统将离开所述沉积室的气体传输回所述沉积室;以及
控制i)所述一种或多种前体材料的供给,以及ii)基于监测到的离开所述沉积室的气体的成分控制回到所述沉积室的气体的传输。
CN201410047902.0A 2013-11-05 2014-02-11 为原子层沉积(ald)工艺供应前体的系统和方法 Active CN104611683B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/071,784 US10443127B2 (en) 2013-11-05 2013-11-05 System and method for supplying a precursor for an atomic layer deposition (ALD) process
US14/071,784 2013-11-05

Publications (2)

Publication Number Publication Date
CN104611683A true CN104611683A (zh) 2015-05-13
CN104611683B CN104611683B (zh) 2017-04-12

Family

ID=53007237

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410047902.0A Active CN104611683B (zh) 2013-11-05 2014-02-11 为原子层沉积(ald)工艺供应前体的系统和方法

Country Status (2)

Country Link
US (2) US10443127B2 (zh)
CN (1) CN104611683B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111041453A (zh) * 2018-10-12 2020-04-21 三星显示有限公司 沉积设备和使用该沉积设备制造显示装置的方法
CN112051013A (zh) * 2019-06-06 2020-12-08 Asm Ip私人控股有限公司 包括分析排出气体的使用气相反应器系统的方法
CN114151730A (zh) * 2021-12-13 2022-03-08 拓荆科技股份有限公司 提供气体切换的气体供应系统及气体切换的方法

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10443127B2 (en) * 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6457104B2 (ja) 2015-09-29 2019-01-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018039578A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Low pressure lift pin cavity hardware
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
CN106947954B (zh) * 2017-04-27 2019-06-18 京东方科技集团股份有限公司 一种气相沉积设备及薄膜的制备方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP7151420B2 (ja) * 2018-11-27 2022-10-12 東京エレクトロン株式会社 ガス供給装置及びガス供給方法
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220403945A1 (en) * 2021-06-18 2022-12-22 Taiwan Semiconductor Manufacturing Company Limited Valve for throttling gas flow from a semiconductor processing tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11848202B2 (en) * 2021-11-30 2023-12-19 Applied Materials, Inc. Growth monitor system and methods for film deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010050096A1 (en) * 2000-04-18 2001-12-13 Costantini Michael A. Supercritical fluid delivery and recovery system for semiconductor wafer processing
US20020022087A1 (en) * 2000-02-28 2002-02-21 Tsukasa Satake Thin film deposition process and device, FTIR gas analyzer used in the thin film deposition process, and mixed gas supplying device used in the thin film deposition process
US20050109419A1 (en) * 2001-12-04 2005-05-26 Tadahiro Ohmi Gas supplying method and system

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468283A (en) * 1982-12-17 1984-08-28 Irfan Ahmed Method for etching and controlled chemical vapor deposition
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
JP2906006B2 (ja) * 1992-10-15 1999-06-14 東京エレクトロン株式会社 処理方法及びその装置
JPH0929002A (ja) * 1995-07-17 1997-02-04 Teisan Kk ガス回収装置
JPH09129561A (ja) * 1995-11-06 1997-05-16 Teisan Kk ガス回収装置
US5944049A (en) * 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JP4112659B2 (ja) * 1997-12-01 2008-07-02 大陽日酸株式会社 希ガスの回収方法及び装置
JPH11204411A (ja) * 1998-01-19 1999-07-30 Nikon Corp 塗布現像露光装置
US6365229B1 (en) * 1998-09-30 2002-04-02 Texas Instruments Incorporated Surface treatment material deposition and recapture
JP4387573B2 (ja) * 1999-10-26 2009-12-16 東京エレクトロン株式会社 プロセス排気ガスモニタ装置及び方法、半導体製造装置、及び半導体製造装置管理システム及び方法
JP2001203211A (ja) * 2000-01-20 2001-07-27 Hitachi Kokusai Electric Inc 水素アニール処理方法及びその装置
US6673323B1 (en) * 2000-03-24 2004-01-06 Applied Materials, Inc. Treatment of hazardous gases in effluent
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP4769350B2 (ja) * 2000-09-22 2011-09-07 大陽日酸株式会社 希ガスの回収方法及び装置
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
JP3670206B2 (ja) * 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
JP4335469B2 (ja) * 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6869579B2 (en) * 2001-07-09 2005-03-22 Nippon Sanso Corporation Process for treating exhaust gas
JP3987312B2 (ja) * 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
JP3891848B2 (ja) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP4090347B2 (ja) * 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP3527915B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP3527914B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
US6825126B2 (en) * 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
JP2005142185A (ja) * 2003-11-04 2005-06-02 Canon Inc 露光装置及びその環境制御方法
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
US7615931B2 (en) * 2005-05-02 2009-11-10 International Technology Center Pulsed dielectric barrier discharge
KR20080059619A (ko) * 2005-10-03 2008-06-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 챔버 세정 공정의 종료점을 결정하기 위한 시스템 및 방법
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
US20090017206A1 (en) * 2007-06-16 2009-01-15 Applied Materials, Inc. Methods and apparatus for reducing the consumption of reagents in electronic device manufacturing processes
JP4472008B2 (ja) * 2007-08-30 2010-06-02 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2011501874A (ja) * 2007-09-14 2011-01-13 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Mems製造において使用されるエッチングプロセス
US20090101069A1 (en) * 2007-10-12 2009-04-23 Suhail Anwar Rf return plates for backing plate support
US8343592B2 (en) * 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
JP5749020B2 (ja) * 2008-01-31 2015-07-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf電力をプラズマチャンバに結合するための装置
JP4418001B2 (ja) 2008-03-12 2010-02-17 三井造船株式会社 原料供給装置
WO2009120686A1 (en) * 2008-03-24 2009-10-01 Applied Materials, Inc. Methods and apparatus for using reduced purity silane to deposit silicon
KR101682583B1 (ko) * 2008-03-25 2016-12-05 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 자원들을 절약하기 위한 방법들 및 장치
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US8697197B2 (en) * 2009-07-08 2014-04-15 Plasmasi, Inc. Methods for plasma processing
US8591634B2 (en) * 2010-01-28 2013-11-26 Air Products And Chemicals, Inc. Method and equipment for selectively collecting process effluent
JP5538128B2 (ja) * 2010-08-09 2014-07-02 東京エレクトロン株式会社 排気方法およびガス処理装置
US8657958B2 (en) * 2010-09-02 2014-02-25 Savi Research, Inc. CVD-Siemens monosilane reactor process with complete utilization of feed gases and total recycle
JP5554252B2 (ja) * 2011-01-20 2014-07-23 株式会社東芝 半導体製造装置およびそのクリーニング方法
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
JP6302708B2 (ja) * 2013-03-29 2018-03-28 芝浦メカトロニクス株式会社 ウェットエッチング装置
US10443127B2 (en) * 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US9649590B2 (en) * 2014-01-13 2017-05-16 Versum Materials Us, Llc System and method for gas recovery and reuse

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020022087A1 (en) * 2000-02-28 2002-02-21 Tsukasa Satake Thin film deposition process and device, FTIR gas analyzer used in the thin film deposition process, and mixed gas supplying device used in the thin film deposition process
US20010050096A1 (en) * 2000-04-18 2001-12-13 Costantini Michael A. Supercritical fluid delivery and recovery system for semiconductor wafer processing
US20050109419A1 (en) * 2001-12-04 2005-05-26 Tadahiro Ohmi Gas supplying method and system

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111041453A (zh) * 2018-10-12 2020-04-21 三星显示有限公司 沉积设备和使用该沉积设备制造显示装置的方法
CN111041453B (zh) * 2018-10-12 2024-05-28 三星显示有限公司 沉积设备和使用该沉积设备制造显示装置的方法
CN112051013A (zh) * 2019-06-06 2020-12-08 Asm Ip私人控股有限公司 包括分析排出气体的使用气相反应器系统的方法
CN112051013B (zh) * 2019-06-06 2023-09-26 Asm Ip私人控股有限公司 包括分析排出气体的使用气相反应器系统的方法
TWI821568B (zh) * 2019-06-06 2023-11-11 荷蘭商Asm Ip私人控股有限公司 使用氣相反應器系統之方法
CN114151730A (zh) * 2021-12-13 2022-03-08 拓荆科技股份有限公司 提供气体切换的气体供应系统及气体切换的方法
CN114151730B (zh) * 2021-12-13 2023-09-29 拓荆科技股份有限公司 提供气体切换的气体供应系统及气体切换的方法

Also Published As

Publication number Publication date
US10443127B2 (en) 2019-10-15
US11053584B2 (en) 2021-07-06
CN104611683B (zh) 2017-04-12
US20200040450A1 (en) 2020-02-06
US20150125591A1 (en) 2015-05-07

Similar Documents

Publication Publication Date Title
CN104611683A (zh) 为原子层沉积(ald)工艺供应前体的系统和方法
CN104073780B (zh) 成膜装置、气体供给装置以及成膜方法
US20060266288A1 (en) High plasma utilization for remote plasma clean
US10364496B2 (en) Dual section module having shared and unshared mass flow controllers
TWI625757B (zh) 脈衝化遠程電漿方法和系統
CN101605925B (zh) 用于处理系统的多区域气体分配系统
CN103334091A (zh) 真空处理装置
CN105316651A (zh) 抑制喷头背面寄生等离子体的方法和装置
CN104975270B (zh) 用于在化学气相沉积反应器上净化废气的设备和方法
CN102369589A (zh) 在基板上成长薄膜的方法及装置
US10010912B2 (en) Particle reduction via throttle gate valve purge
CN203174200U (zh) 等离子体增强原子层沉积设备
CN105714272A (zh) 用于提高膜均匀性的装置和方法
KR20170108916A (ko) 성막 장치 및 배기 장치 및 배기 방법
CN109182999B (zh) 用于原子层沉积工艺的进气系统和控制方法
CN104160481A (zh) 分离式泵送方法、装置和系统
JP2003271218A (ja) 半導体製造装置、半導体製造システム及び基板処理方法
KR20080025695A (ko) 기판 처리 시스템
CN109402608A (zh) 一种原子层沉积设备的气路系统及其控制方法
EP2593580B1 (en) Process chamber pressure control system and method
CN104962880A (zh) 一种气相沉积设备
CN109750274B (zh) 半导体生产设备及半导体工艺方法
CN2783707Y (zh) 远距等离子体反应器的制程气体旁通装置
US20210310123A1 (en) Flush fixture for showerhead
TWI833697B (zh) 用於供薄膜製造的來源化學品之整合的合成、輸送及加工之方法及系統

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant