CN102591161A - 光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法 - Google Patents

光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法 Download PDF

Info

Publication number
CN102591161A
CN102591161A CN2012100433969A CN201210043396A CN102591161A CN 102591161 A CN102591161 A CN 102591161A CN 2012100433969 A CN2012100433969 A CN 2012100433969A CN 201210043396 A CN201210043396 A CN 201210043396A CN 102591161 A CN102591161 A CN 102591161A
Authority
CN
China
Prior art keywords
film
ester
patterned layer
polymkeric substance
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012100433969A
Other languages
English (en)
Other versions
CN102591161B (zh
Inventor
陈光荣
李伟健
黄武松
普什卡拉·瓦拉纳斯
刘森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN102591161A publication Critical patent/CN102591161A/zh
Application granted granted Critical
Publication of CN102591161B publication Critical patent/CN102591161B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

本发明涉及一种方法及抗蚀剂组合物。抗蚀剂组合物包含:具有含有内酯结构部分的第一重复单元的聚合物、能产生碱的热碱产生剂、以及光敏酸产生剂。聚合物具有的特性为:实质可溶于第一溶剂,并且该聚合物在加热后变成实质不可溶。该方法包括:形成光致抗蚀剂薄膜,该光致抗蚀剂包含聚合物、能释出碱的热碱产生剂、光敏酸产生剂、以及溶剂。图案式成像薄膜。成像包括将薄膜曝光于辐射,导致产生酸催化剂。在水性碱中显像薄膜,导致移除可碱溶区域,并且形成图案化层。烘烤图案化层高于该温度,导致热碱产生剂在图案化层内释出碱,且导致图案化层变成不可溶于溶剂。

Description

光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法
本申请是由国际商业机器公司于2008年12月5日提交的国际申请号为PCT/EP2008/066915的发明名称为“光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法”的国际申请的分案申请。该国际申请PCT/EP2008/066915进入中国国家阶段的日期为2010年6月3日,国家申请号为200880119057.6。
技术领域
本发明涉及光致抗蚀剂组合物及利用其进行光刻术的方法。
背景技术
光学光刻术已成为半导体产业中的主要技术。许多分辨率提高技术(resolution enhancement technology;RET)方法亦贡献于光学光刻术技术的延伸以印出非常低k1的图像。k1值可使用光学投影光刻术分辨率方程式W=k1λ/NA来得到,其中W为最小可印的特征尺寸,λ为曝光波长(例如193nm,157nm),NA为光刻术体系的数值孔径,而k1为体系的光刻常数。双曝光(double exposure;DE)为制造集成电路芯片中降低k1的方法。而目前已发展出许多双曝光的方法,包括双偶极光刻术(double dipolelithography;DDL)以及双曝光双重蚀刻(double exposure double etch;DE2)技术。然而双偶极光刻术技术仅可在衍射极限(diffraction limit)内印出图像,而双曝光双重蚀刻技术为一种既复杂又昂贵的过程。因此,需要克服上述缺点及限制。
发明简述
本发明涉及一种抗蚀剂组合物,其包含:
聚合物,其包含含有内酯结构部分的第一重复单元,该聚合物具有的特性为:实质可溶于第一溶剂,并且该聚合物在加热至约140℃或更高的第一温度后变成实质不可溶于该第一溶剂;
热碱产生剂,该热碱产生剂在加热高于第二温度时能释出碱;以及
光敏酸产生剂,其在曝露于辐射时能释出酸。
本发明涉及一种方法,其包括:
在基材上形成第一光致抗蚀剂的第一薄膜,该第一光致抗蚀剂包含第一聚合物、在高于第一温度能释出碱的热碱产生剂、在曝露于辐射时能产生酸的第一光敏酸产生剂、以及第一溶剂,该第一光致抗蚀剂具有的特性为:实质可溶于所述溶剂,并且该第一光致抗蚀剂在加热至高于第二温度后变成实质不可溶于所述溶剂;
透过第一掩膜图案式成像该第一薄膜,成像包括使该第一薄膜的至少一个区域曝光于辐射,导致在该第一薄膜的该至少一个曝光区域中产生第一酸催化剂;
在该成像后,在水性碱中显像该第一薄膜,导致移除该第一薄膜的可碱溶曝光区域,并形成该第一薄膜的第一图案化层;以及
在高于该第一温度和高于该第二温度烘烤该第一图案化层,其中该烘烤导致该第一热碱产生剂在该第一图案化层内释出碱,其中该烘烤导致该第一图案化层变成实质不可溶于该第一溶剂。
附图简述
本发明特征在所附权利要求中给出。然而,参考以下对于示例性实施方案的详细描述并结合所给附图会更好地了解本发明。
图1为根据本发明实施方案,显示形成图案化层的方法的流程图。
图2A为根据本发明实施方案,显示沉积于基材上的薄膜的示意图。
图2B为根据本发明实施方案,显示图案式成像图2A的薄膜的示意图。
图2C为根据本发明实施方案,显示图2B的薄膜在移除图2B的可碱溶曝光区域后的示意图。
图2D为根据本发明实施方案,显示在第一图案化层上形成第二光致抗蚀剂的第二薄膜后图2C的第一图案化层示意图。
图2E为根据本发明实施方案,显示图2D的层在同时曝光于辐射或能量粒子时的示意图。
图2F为根据本发明实施方案,显示图2E的薄膜在显像后的示意图。
发明详述
虽然将显示及详细说明本发明某些实施方案,然而应了解在不悖离所附权利要求范围的条件下可有各种的修改及变化。本发明范围将不受限于构成组分的数量、其材料、其形状、其相关配置等,且所揭露的实施方案仅作为举例。本发明的特征及优点详细显示于所给附图,其中附图中相同参考符号表示相同组件。虽然附图意欲用以描述本发明,但附图并未依比例绘示。
图1为本发明实施方案,显示在例如光刻术中形成图案化层的方法的流程图。在步骤110中,在基材上形成第一光致抗蚀剂的第一薄膜。光致抗蚀剂可包含第一聚合物、在高于第一温度能释出碱的热碱产生剂、以及第一光敏酸产生剂。第一聚合物可具有的特性为:实质可溶于溶剂,并且该聚合物在加热至例如约140℃或更高的温度(举例如约140℃至约260℃)后变成实质不可溶于该溶剂。光致抗蚀剂组合物可具有的特性为:实质可溶于溶剂,并且该聚合物在加热至例如约140℃或更高的温度(举例如约140℃至约260℃)后变成实质不可溶于该溶剂。
光致抗蚀剂的聚合物可包含一种或更多种结构的重复单元,例如聚合物可包含共聚物(copolymer)、三元共聚物(terpolymer)、四元共聚物(tetrapolymer)等。
聚合物可具有含有内酯结构部分的重复单元,并结合含有至少一种酸不稳定结构部分的重复单元,其中这样的构造可使光致抗蚀剂具有良好的光刻性能。内酯结构部分可改善在水性显像剂中的溶解性。含有内酯结构部分的重复单元的实例包括:5-甲基丙烯酰基氧基-2,6-降冰片烷碳-γ-内酯、3-甲基丙烯酰基氧基甲基-2,6-降冰片烷碳内酯、3-丙烯酰基氧基甲基-2,6-降冰片烷碳内酯、α-丙烯酰基氧基-γ-丁内酯、α-甲基丙烯酰基氧基-γ-丁内酯、β-丙烯酰基氧基-γ-丁内酯、以及β-甲基丙烯酰基氧基-γ-丁内酯。叔酯结构部分的实例包括可被光酸产生剂所产生的酸去保护的那些。叔酯结构部分的实例包括结构基团的酯衍生物,结构基团例如甲基金刚烷、乙基金刚烷、甲基环戊烷、乙基环戊烷、甲基环己烷、乙基环己烷、甲基环庚烷、乙基环庚烷、甲基环辛烷、乙基环辛烷和叔丁基。
举例而言,聚合物可包含具有内酯结构部分的第一重复单元,内酯结构部分为例如:
Figure BDA0000137730260000041
其中聚合物还可包含具有至少一个叔酯结构部分的第二重复单元,叔酯结构部分例如:
Figure BDA0000137730260000051
举例而言,聚合物可表示为:
Figure BDA0000137730260000052
聚合物还可包含第三重复单元,其中第三重复单元可强化光致抗蚀剂在铸造溶剂(casting solvent)中从实质可溶到实质不可溶的溶解性变化,例如于高温烘烤期间。
本文所用的术语实质不可溶意欲包括具有小程度的溶解性但不影响通过材料(如聚合物、光致抗蚀剂等)损失从光致抗蚀剂形成的图像的质量,该材料损失是通过从不含光敏酸产生剂衍生的酸的光致抗蚀剂层的区域通过溶解于碱水溶液或光致抗蚀剂溶剂而实现。本文所用的术语实质可溶意欲包括在碱水溶液或溶剂中具有足够高的溶解性程度,以使得所有或几乎所有(即任何剩余材料存在的量小到不影响后续过程步骤)在含有光敏酸产生剂衍生的酸的区域中的材料(例如聚合物、光致抗蚀剂等)溶解于碱水溶液或光致抗蚀剂溶剂。在光致抗蚀剂配制剂及半导体加工的内容中,术语实质不可溶意欲包括聚合物完全或几乎完全不可溶于光致抗蚀剂溶剂。在光致抗蚀剂配制剂及半导体加工的内容中,术语实质可溶意欲包括聚合物完全或几乎完全可溶于光致抗蚀剂溶剂。一般而言,聚合物溶解速率极强烈地影响光致抗蚀剂层的溶解速率,因此实质不可溶的聚合物会使包含此聚合物的光致抗蚀剂实质不可溶。实质不可溶的光致抗蚀剂在溶剂或水性碱中具有小于约0.2纳米/秒(nm/s)的溶解速率,而实质可溶的光致抗蚀剂在溶剂或水性碱中具有大于约5nm/s的溶解速率。光酸产生剂、猝灭剂(quencher)、及其它添加剂亦可改变最终光致抗蚀剂层的溶解速率。
第三重复单元可包含羟基,例如烷基醇、羧酸、或其组合,例如:
Figure BDA0000137730260000061
以及
Figure BDA0000137730260000062
例如在以下的实例中:
Figure BDA0000137730260000071
聚合物结构还可包含第四重复单元。第四重复单元可构建成在显像过程期间用以稳定光致抗蚀剂图像,其中第四重复单元对水性显像剂可具有一些溶解性,且可含有选自以下所组成的群组中的结构部分:磺酰胺、氟化磺酰胺、氟代醇、二羧基酰亚胺、N-羟基二羧基酰亚胺、酚、萘酚、氨基及亚氨基。第四重复单元的实例包括:
Figure BDA0000137730260000072
包含第四重复单元的抗蚀剂聚合物的实例包括:
Figure BDA0000137730260000081
(MAdMA/iPrHFMA/HAdMA/NLM)。
第四重复单元可包含上述第二重复单元的结构,例如MAdMA、EtAdMA、MCpMA、ECpMA、以及ECoMA,使得聚合物结构包含含有至少两种不同的叔酯结构部分的两种不同重复单元。两种酯基的去保护速率(deprotection rate)不同,可改善抗蚀剂组合物所产生的图像的稳定性。具有第四重复单元且包含至少两种不同叔酯结构部分的聚合物的实例包括:
Figure BDA0000137730260000082
(MAdMA/ECpMA/HAdMA/NLM)。
光致抗蚀剂的聚合物可包含两种或更多种聚合物的聚合物掺合物,例如两种或更多种上述聚合物的掺合物。
光致抗蚀剂还可包含热碱产生剂,其中热碱产生剂在高于第一温度T可产生碱。T为约140℃或更高的温度,例如介于140℃至260℃之间。热碱产生剂可包含属于以下群组的化合物,例如酰胺、磺酰胺、酰亚胺、亚胺、O-酰基肟、苯甲酰基氧基羰基衍生物、季铵盐、以及硝苯地平(nifedipine),其实例可包括:o-{(β-(二甲基氨基)乙基)氨基羰基}苯甲酸、o-{(γ-(二甲基氨基)丙基)氨基羰基}苯甲酸、2,5-双{(β-(二甲基氨基)乙基)氨基羰基}对苯二甲酸、2,5-双{(γ-(二甲基氨基)丙基)氨基羰基}对苯二甲酸、2,4-双{(β-(二甲基氨基)乙基)氨基羰基}间苯二甲酸、以及2,4-双{(γ-(二甲基氨基)丙基)氨基羰基}间苯二甲酸。
在一个实施方案中,热碱产生剂可包含至少一个氨基甲酸酯结构部分。优选的热碱产生剂例如包括芴基甲基氨基甲酸酯、苯基磺酰基乙基氨基甲酸酯和3-硝基戊烷-2-基氨基甲酸酯。
其中各个R1或R2独立地选自氢原子、线性烷基、支化烷基、环烷基、卤化线性烷基、卤化支化烷基、卤化环烷基、芳基、卤化芳基及其结合,并且其中R4可以是氢或烷基。对于卤化的结构部分,卤素优选选自氟、氯和溴。例如各个R1或R2可以独立地选自氟化线性烷基、氟化支化烷基、氟化环烷基、芳基、氟化芳基及其结合。
上述热碱产生剂也可以连接以形成二聚体结构,其中这样的连接可以防止或减少所产生的碱的挥发。二聚体形式的热碱产生剂的一些实例包括:
Figure BDA0000137730260000101
其中各个R1或R2独立地选自氢原子、线性烷基、支化烷基、环烷基、卤化线性烷基、卤化支化烷基、卤化环烷基、芳基、卤化芳基及其结合,其中R3选自线性烷基、支化烷基、环烷基、卤化线性烷基、卤化支化烷基、卤化环烷基、芳基、卤化芳基及其结合,并且其中R4可以是氢或烷基。对于卤化的结构部分,卤素优选选自氟、氯和溴。例如各个R1或R2可以独立地选自氟化线性烷基、氟化支化烷基、氟化环烷基、芳基、氟化芳基及其结合,其中R3选自氟化线性烷基、氟化支化烷基、氟化环烷基、芳基、氟化芳基及其结合。
包含氨基甲酸酯结构部分的热碱产生剂的一些具体例子包括:
Figure BDA0000137730260000102
最优选的热碱产生剂是芴基甲基氨基甲酸酯,特别是热分解温度(Td)低于220℃并优选低于200℃的那些芴基甲基氨基甲酸酯。芴基甲基氨基甲酸酯通常Td低于其它热碱产生剂,使得在约140℃至约260℃的的温度的后显像烘烤期间比其它热碱产生剂产生更多的碱。优选的后显像烘烤温度为约150℃至约220℃。与其它氨基甲酸酯比较芴基甲基氨基甲酸酯的较低Td可以从以下看出,其中以下所示的1,6-双[9-芴基甲氧基]碳基氨基]己烷具有175℃的较低Td:
这是相比较于以下所示的1,6-双[2-硝基苄基氧基]碳基氨基]己烷的为227℃的Td:
Figure BDA0000137730260000112
以及以下所示的N,N’-双[[1-(3,5-二甲氧基苯基)-1-甲基乙氧基]羰基]己烷-1,6-二胺的为206℃的Td:
Figure BDA0000137730260000113
在另一个实施例中,以下所示的N-9H-芴-9-基甲氧基羰基-4-哌啶的第一Td为105℃,第二Td为160℃,
Figure BDA0000137730260000114
而以下所示的N-[[1-(3,5-二甲氧基苯基)-1-甲基乙氧基]羰基]哌啶的Td为193℃:
Figure BDA0000137730260000121
上述优选的热碱产生剂通常对于常用的光辐射源如193nm和248nm光源并不敏感。因此,这些碱产生剂在抗蚀剂配制剂中的加料量通常不会显著影响光刻剂量,只要抗蚀剂的烘烤温度不高于热碱产生剂的热分解温度。
在另一个实施方案中,光致抗蚀剂可包含环氧结构部分或氨基甲酸乙酯结构部分用于在使光致抗蚀剂经受高于第一温度T的温度的烘烤之后进行碱催化的交联以使得光致抗蚀剂薄膜不可溶于其自身的铸造溶剂。光致抗蚀剂还可以包含酰氨基酸酯用于碱催化的酰亚胺化,或侧接的羧基结构部分用于碱催化的脱羧,或羰基结构部分(醛结构部分和酮结构部分)和活性亚甲基结构部分用于通过Knoevenagel反应进行碱催化的交联。
光致抗蚀剂还可包含光敏酸产生剂(photosensitive acid generator;PAG),其在曝露于辐射时能释出或产生酸。PAG可包括例如(三氟-甲基磺酰基氧基)-双环[2.2.1]庚-5-烯-2,3-二羧酰亚胺(MDT)、N-羟基-萘二甲酰亚胺(DDSN)、鎓盐(onium salt)、芳族重氮盐(aromatic diazonium salts)、锍盐(sulfonium salts)、二芳基碘鎓盐(diaryliodonium salts)、N-羟基酰胺、酰亚胺的磺酸酯,或其组合。
光致抗蚀剂还可包含表面活性剂。表面活性剂可用以改善涂布均匀性,且可包括离子的、非离子的、单体的、低聚的(oligomeric)、以及聚合的物种、或其组合。可能的表面活性剂的实例包括:含氟表面活性剂,例如来自St.Paul,Minn.的3M Company的FLUORAD系列,以及含硅氧烷的表面活性剂,例如来自Danbury,CT,USA的Union CarbideCorporation的SILWET系列。
光致抗蚀剂可包含铸造溶剂以溶解其它组分,使得光致抗蚀剂可均匀地涂布到基材表面,以提供无缺陷的涂层。光致抗蚀剂用于多层成像过程时,用于成像层的溶剂不可以是下层材料的溶剂,不然会发生不想要的混合。适当的铸造溶剂的实例可包括醚类、二醇醚、芳族烃、酮类、酯类、乳酸乙酯、γ-丁内酯、环己酮、乙氧基乙基丙酸酯(EEP)、EEP及γ-丁内酯(GBL)的组合、以及丙二醇甲醚乙酸酯(PGMEA)。本发明不限于任何特定溶剂的选择。
光致抗蚀剂可包含碱猝灭剂、敏化剂、或其它已知促进剂(expedient)。于此所述的光致抗蚀剂组成不限于这些促进剂的任何特定选择,其中碱猝灭剂可包括脂族胺、芳族胺、羧酸酯、氢氧化物、或其组合。碱猝灭剂的实例可包括:二甲氨基吡啶、7-二乙基氨基-4-甲基香豆素(Coumarin 1)、季胺、位阻二胺、以及胍碱,例如1,8-双(二甲氨基)萘(PROTON SPONGE)、小檗碱、或聚合胺,例如购自BASF的PLURONIC或TETRONIC系列。当PAG为鎓盐时,氢氧化四烷基铵或氢氧化十六烷基三甲基铵可用作碱猝灭剂。
光致抗蚀剂组合物不限于各种组分的任何特定比例。光致抗蚀剂组合物可包含占组合物总重量约1wt%(重量百分比)至约30wt%的聚合物,例如约2wt%至约15wt%。光致抗蚀剂组合物可包含占组合物中聚合物重量约0.1wt%至约30wt%的热碱产生剂,例如约0.1wt%至约20wt%。光致抗蚀剂组合物可包含占组合物中聚合物重量约0.5wt%至约20wt%的光酸产生剂,例如约0.5wt%至约10wt%。光致抗蚀剂组合物可包含占组合物总重量约70wt%至约99wt%的溶剂,例如约85wt%至约98wt%。光致抗蚀剂组合物还可包含占组合物中聚合物总重量约0.1wt%至约1.0wt%的碱猝灭剂。光致抗蚀剂组合物还可包含占组合物中聚合物总重量约0.001wt%至约0.1wt%的表面活性剂。
如上所述,光致抗蚀剂还可包含表面活性剂和/或碱猝灭剂。薄膜可通过以下方法形成,例如旋涂、喷涂、浸涂、刮刀成形、辊涂、等等,根据本发明方法,这些方法可独立或组合使用。基材可包含以下材料:一种或更多种IUPAC第4、6、11、12、13、14和15族的元素、塑料、二氧化硅、玻璃、火成二氧化硅、云母、陶瓷、沉积于上述基材上的金属、其组合、等等。基材可包含不同材料堆叠或层叠。对用于三层(trilayer)方法的基材而言,可有比较厚的有机下层及薄的含硅中间层,其中含硅层可为化学气相沉积的氧化硅层或旋涂的倍半硅氧烷聚合物(silsesquioxanepolymer)薄膜。举例而言,基材可包含制备的硅片基材,例如用于半导体制造的那些。于此所述的薄膜及层可沉积于基材顶上,或可与基材整合在一起。
在步骤115中,透过第一掩膜,利用辐射或粒子束源将薄膜图案式成像,其中第一薄膜的至少一个区域曝光于辐射或粒子束,使得光敏酸产生剂在薄膜的该至少一个曝光区域中产生酸催化剂,而使抗蚀剂的曝光区域实质可溶于显像剂。对于化学放大的抗蚀剂(chemically amplified resist)体系而言,烘烤可将聚合物中的酸不稳定基团去保护,通过酸催化的放大过程再产生可碱溶的基团,因此烘烤可使抗蚀剂的曝光区域实质可溶于显像剂。
图2A显示沉积于基材200上的薄膜205,例如上述的薄膜及基材。图2B显示如图1的步骤115所述图2A的薄膜进行图案式成像,其中辐射或粒子束源210将辐射或能量粒子透过图案化掩膜215投射到沉积在基材200上的薄膜205上。掩膜可具有遮蔽区段225的图案,该区段对辐射而言为基本不透明或对能量粒子而言为基本不可穿透,而未遮蔽区段220对辐射而言为基本透明或对能量粒子而言为基本可穿透。通过未遮蔽区段220的辐射或粒子可传送到薄膜205,而被薄膜205的曝光区域230吸收,其中辐射或粒子可导致在薄膜205的曝光区域230中产生酸催化剂。薄膜205的未曝光区域232不会产生酸催化剂。
曝光于辐射或能量粒子可使曝光区域230可溶于显像剂。本发明光致抗蚀剂可利用辐射图案式成像,例如紫外光(UV),如波长约436纳米(nm)及365nm;远紫外光(DUV),如波长约257nm、248nm、193nm、及157nm;极远紫外光(EUV),如波长约4nm至约70nm(例如约13nm);x-射线;其组合等等。可使用各种波长的辐射,例如313nm、334nm、405nm、及126nm等,其中来源可主要为特定汞射线或特定激光。对高效能光刻术而言,可使用单一波长和/或窄带辐射源。对较不严苛的条件而言,可使用宽带多波长源。本发明的光致抗蚀剂组合物可利用粒子束图案式成像,例如电子束、离子束、其组合、等等。适当的辐射或粒子束类型可取决于整体光致抗蚀剂组合物的组分(例如对分子玻璃组成、光敏酸产生剂(PAG)、碱(或猝灭剂)、表面活性剂、溶剂等的选择)。
再次参考图1,在步骤120,在碱水溶液中显像薄膜,其中可从薄膜移除薄膜的可碱溶的曝光区域,以形成第一薄膜的第一图案化层。显像剂可为有机的或水性的,例如水性碱显像剂如氢氧化四甲铵水溶液。图2C显示图2B的薄膜在移除图2B的可碱溶的曝光区域230后的示意图,其中第一图案化层235保留在基材200上。
再次参考图1,在步骤125中在高于第一温度T烘烤第一图案化层。第一温度T可为约140℃,例如约140℃至约260℃。烘烤导致热碱产生剂在第一图案化层内释出碱。烘烤导致所述第一图案化层变成实质不可溶于第一溶剂。
在步骤130中,在步骤125的烘烤后,在步骤120中形成的第一图案化层上形成第二光致抗蚀剂的第二薄膜。第二光致抗蚀剂可包含第二聚合物和第二光敏酸产生剂。第一聚合物和第二聚合物可具有相同或不同的组成。举例而言,第二聚合物可包含聚合物,例如如上所述的那些,或本领域已知用于光致抗蚀剂组合物的聚合物。图2D显示在在第一图案化层235上形成第二光致抗蚀剂的第二薄膜240之后的图2C的第一图案化层235。
再次参考图1,步骤135包括透过第二掩膜同时图案式成像第一图案化层及第二薄膜。同时成像可将第二薄膜的至少一个区域曝光于辐射,并使得在第二薄膜的该至少一个曝光区域中产生第二酸催化剂。在第一图案化层中所产生的酸催化剂由于同时成像而被步骤125的烘烤所释出的碱中和,因此保护了第一图案化层的完整性,而不因为步骤135的同时成像发生退化。
图2E显示图2D的层在同时曝光于透过第二图案化掩膜250所投射的来自辐射或粒子束源245的辐射或能量粒子的示意图。第一图案化层235和第二薄膜240可同时曝光。第二掩膜可具有遮蔽区段260的图案,该区段对辐射而言为基本不透明或对能量粒子而言为基本不可穿透,而未遮蔽区段250对辐射而言为基本透明或对能量粒子而言为基本可穿透。通过未遮蔽区段250的辐射或粒子可传送到第二薄膜240和第一图案化层235,而被第二薄膜240的曝光区域270以及第一图案化层的曝光区域275吸收。辐射或粒子可导致在第二薄膜240的曝光区域265以及第一图案化层235的曝光区域275中产生酸催化剂。在第二薄膜240的曝光区域265中的酸催化剂可例如使那些曝光区域可溶于显像剂。在其它实例中,第二薄膜240中的酸催化剂可与第二薄膜240中的聚合物交联,使得第二薄膜的曝光区域265不可溶于显像剂。第一图案化层235的曝光区域275中产生的酸催化剂,被步骤125的烘烤中产生的在第一图案化层中存在的碱中和。第二薄膜240的和第一图案化层235的未曝光区域265不会产生酸催化剂。
再次参考图1,步骤140包括显像第二薄膜,导致移除第二薄膜的区域并形成所述第二薄膜的第二图案化层。举例而言,在步骤140中显像第二薄膜可包括在水性碱中显像第二薄膜,其中移除第二薄膜区域包括移除所述第二薄膜的曝光的可碱溶区域。在其它实施例中,步骤140的显像第二薄膜可包括在显像剂中显像第二薄膜,其中第二薄膜的交联曝光区域在显像后保留下来,而未曝光的未交联区域则被显像剂移除。图2F显示图2E的薄膜在显像后的示意图,其中图2E的第二薄膜140的曝光区域已被移除而留下第二图案化层280。第一图案化层235则基本上没有被显像步骤140改变。
在一个实施方案中,在图案式成像第一薄膜之后,以及在显像第一薄膜之前,可在约80℃至约150℃的温度烘烤第一薄膜。
实施例1
将由37摩尔(mole)%的MAdMA、16摩尔%的HAdMA、以及47摩尔%的NLM构成的三元共聚物(MAdMA/HAdMA/NLM)溶于有30wt%(wt%相对于聚合物而言)的GBL、4wt%的TPSN(triphenylsulfonium nonaflate;全氟丁基磺酸三苯基锍)、以及1.2wt%的DFC的PGMEA中,以形成具有6.6wt%固体含量的溶液。所形成的溶液以0.2微米(μm)的过滤器过滤。将抗蚀剂旋涂于12”硅片上,该硅片具有厚度约42nm的Rohm和Haas AR40A涂层以及底部抗反射涂层(BARC)。将抗蚀剂在约130℃进行涂布后烘烤(post-applying backed,PAB)约60秒,并曝光于ASML步进机(stepper)(0.8NA、0.78σ部分相干照射(partial coherenceillumination))上的193nm波长的光。然后硅片在约125℃进行曝光后烘烤(post-exposure backed,PEB)约60秒。以0.263N TMAH(氢氧化四甲铵)显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程(single puddledevelop process)而将薄膜显像约30秒。在这些工艺条件下,解析出节距280nm的70nm线。然后硅片在约200℃进行显像后烘烤(post-developingbacked,PDB)约90秒。
然后将JSR AM2073J抗蚀剂旋涂于上述硅片上。将抗蚀剂在约110℃进行涂布后烘烤约60秒,且曝光于ASML步进机(0.8NA、0.78σ部分相干照射)上的193nm波长的光。在曝光期间,偏移调制盘,使得同组的70nm线可印在第一层抗蚀剂之间。然后硅片在约120℃进行曝光后烘烤约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程显像30秒。在这些工艺条件下,将第二抗蚀剂的70nm线印在第一抗蚀剂的另一70nm线之间,而形成70nm线及140nm节距的间隔。
实施例2
将由37摩尔%的MAdMA、16摩尔%的HAdMA、以及47摩尔%的NLM构成的三元共聚物(MAdMA/HAdMA/NLM)溶于有30wt%的GBL、4wt%的TPSN、0.105wt%的bockbim(tert-butyl2-phenyl-1,3-benzodiazole-1-carboxylate;2-苯基-1,3-苯并二唑-1-羧酸叔丁酯)、以及0.59wt%的NBC-101(所有wt%为相对于聚合物而言)的PGMEA中,以形成具有4.9wt%固体含量的溶液。所形成的溶液以0.2μm的过滤器过滤。将抗蚀剂旋涂于12”硅片上,该硅片具有厚度42nm的Rohm和Haas AR40A涂层。将抗蚀剂在约130℃进行涂布后烘烤(PAB)约60秒,然后曝光于ASML步进机(0.93NA、0.85外和0.6内σ环形照射(annularillumination))上的193nm波长的光。然后硅片在约125℃进行曝光后烘烤(PEB)约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,解析出节距160nm的80nm线。然后硅片在约190℃进行显像后烘烤(PDB)约120秒。
然后将与本实施例所述相同的抗蚀剂配方旋涂于上述涂布并显像的硅片上。抗蚀剂在约110℃进行涂布后烘烤约60秒,且曝光于ASML步进机(0.93NA、0.85外和0.6内σ环形照射)上的193nm波长的光。在曝光期间,调制盘转90°,使得同组但相对于第一层线转90°的80nm线可印在第一层抗蚀剂顶上。然后硅片在约120℃进行曝光后烘烤约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,第一层线和第二层线之间的空间变成节距160nm的80nm正方形接触孔。
实施例3
将由35摩尔%的ECpMA、15摩尔%的HAdMA、以及50摩尔%的NLM构成的三元共聚物(ECpMA/HAdMA/NLM)溶于有4wt%的TPSN、0.21wt%的bockbim、以及0.59wt%的NBC-101(所有wt%为相对于聚合物而言)的70/30wt%的PGMEA/乳酸乙酯共溶剂中,以形成具有4.3wt%固体含量的溶液。所形成的溶液以0.2μm的过滤器过滤。将抗蚀剂旋涂于12”硅片上,该硅片具有厚度为42nm的Rohm和Haas AR40A涂层。将抗蚀剂在约130℃进行涂布后烘烤(PAB)约60秒,并曝光于ASML步进机(1.2NA,30°类星体照射(Quasar illumination),具有0.8外和0.6内σ环形照射)上的193nm波长的光。然后将硅片在约125℃进行曝光后烘烤(PEB)约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,解析出节距200nm的50nm线。然后将硅片在约200℃进行显像后烘烤(PDB)约90秒。
然后将JSR AM2073J抗蚀剂旋涂于上述涂布并显像的硅片上。将抗蚀剂在约110℃进行涂布后烘烤约60秒,且曝光于ASML步进机(1.2NA,30°类星体照射,具有0.8外和0.6内σ环形照射)上的193nm波长的光。在曝光期间,偏移调制盘,使得同组的50nm线可印在第一层抗蚀剂之间。然后将硅片在约120℃进行曝光后烘烤约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,将第二抗蚀剂的另一50nm线印在第一抗蚀剂的50nm线之间,而形成50nm线和100nm节距的间隔。
实施例4
将由40摩尔%的MAdMA、10摩尔%的MCpMA、15摩尔%的HAdMA、以及35摩尔%的NLM构成的四元共聚物(MAdMA/MCpMA/HAdMA/NLM)溶于有4wt%的TPSN、0.21wt%的bockbim、以及0.59wt%的NBC-101(所有wt%为相对于聚合物而言)的70/30wt%的PGMEA/乳酸乙酯共溶剂中,以形成具有4.3wt%固体含量的溶液。所形成的溶液以0.2μm的过滤器过滤。将抗蚀剂旋涂于12”硅片上,该硅片具有厚度42nm的Rohm和Haas AR40A涂层。将抗蚀剂在约110℃进行涂布后烘烤(PAB)约60秒,并曝光于ASML步进机(1.2NA,30°类星体照射,具有0.8外和0.6内σ)上的193nm波长的光。然后将硅片在约120℃进行曝光后烘烤(PEB)约60秒。以0.263N TMAH显像剂(MosesLake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,解析出节距140nm的35nm线。然后硅片在约200℃进行显像后烘烤(PDB)约90秒。
然后将JSR AM2073J抗蚀剂旋涂于上述涂布并显像的硅片上。将抗蚀剂在约110℃进行涂布后烘烤约60秒,且曝光于ASML步进机(1.2NA,30°类星体照射,具有0.8外和0.6内σ环形照射)上的193nm波长的光。在曝光期间,偏移调制盘,使得同组的35nm线可印在第一层抗蚀剂之间。然后在约120℃将硅片进行曝光后烘烤约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将其显像约30秒。在这些工艺条件下,将第二抗蚀剂的35nm线印在第一抗蚀剂的另一35nm线之间,而形成35nm线及70nm节距的间隔。
实施例5
将由35摩尔%的MAdMA、10摩尔%的iPrHFAMA、15摩尔%的HAdMA、以及40摩尔%的NLM构成的四元共聚物(MAdMA/iPrHFAMA/HAdMA/NLM),溶于有1.28wt%的GBL、4wt%的TPSN、0.21wt%的bockbim、以及0.69wt%的NBC-101(所有wt%为相对于聚合物而言)的PGMEA中,以形成具有4.3wt%固体含量的溶液。所形成的溶液以0.2μm的过滤器过滤。将抗蚀剂旋涂于12”硅片上,该硅片具有厚度42nm的Rohm和Haas AR40A涂层。将抗蚀剂在约130℃进行涂布后烘烤(PAB)约60秒,并曝光于ASML步进机(1.2NA,30°类星体照射,具有0.8外和0.6内σ环形照射)上的193nm波长的光。然后硅片在约125℃进行曝光后烘烤(PEB)约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,解析出节距200nm的50nm线。然后硅片在约200℃进行显像后烘烤(PDB)约90秒。
然后将JSR AM2073J抗蚀剂旋涂于上述涂布并显像的硅片上。将抗蚀剂在约110℃进行涂布后烘烤约60秒,且曝光于ASML步进机(1.2NA,30°类星体照射,具有0.8外和0.6内σ环形照射)上的193nm波长的光。在曝光期间,偏移调制盘,使得同组的50nm线可印在第一层抗蚀剂之间。然后硅片在约120℃进行曝光后烘烤约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,将第二抗蚀剂的50nm线印在第一抗蚀剂的另一50nm线之间,而形成50nm线及100nm节距的间隔。
实施例6
将占75wt%的由37摩尔%的MAdMA、16摩尔%的HAdMA、以及47摩尔%的NLM构成的三元共聚物MAdMA/HAdMA/NLM和占25wt%的由35摩尔%的ECpMA、15摩尔%的HAdMA、以及50摩尔%的NLM构成的三元共聚物ECpMA/HAdMA/NLM所形成的聚合物掺合物溶于有4wt%的TPSN、0.21wt%的bockbim、以及0.59wt%的NBC-101(所有wt%为相对于聚合物总重量而言)的70/30wt%的PGMEA/乳酸乙酯共溶剂中,以形成具有4.3wt%固体含量的溶液。所形成的溶液以0.2μm的过滤器过滤。将抗蚀剂旋涂于12”硅片上,该硅片具有厚度42nm的Rohm和HaasAR40A涂层。将抗蚀剂在约130℃进行涂布后烘烤(PAB)约60秒,并曝光于ASML步进机(1.2NA,30°类星体照射,具有0.8外和0.6内σ环形照射)上的193nm波长的光。然后在约125℃将硅片进行曝光后烘烤(PEB)约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将其显像约30秒。在这些工艺条件下,解析出节距140nm的35nm线。然后在约190℃将硅片进行显像后烘烤(PDB)约90秒。
然后将JSR AM2073J抗蚀剂旋涂于上述涂布并显像的硅片上。将抗蚀剂在约110℃进行涂布后烘烤约60秒,且曝光于ASML步进机(1.2NA,30°类星体照射,具有0.8外和0.6内σ环形照射)上的193nm波长的光。在曝光期间,偏移调制盘,使得同组的35nm线可印在第一层抗蚀剂之间。然后硅片在约120℃进行曝光后烘烤约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将其显像约30秒。在这些工艺条件下,将第二抗蚀剂的35nm线印在第一抗蚀剂的另一35nm线之间,而形成35nm线及70nm节距的间隔。
实施例7
将占90%的由37摩尔%的MAdMA、16摩尔%的HAdMA、以及47摩尔%的NLM构成的三元共聚物MAdMA/HAdMA/NLM和占10%的由35摩尔%的EtAdMA、10摩尔%的HAdMA、以及55摩尔%的NLM构成的三元共聚物EtAdMA/HAdMA/NLM所形成的聚合物掺合物,溶于有4wt%的TPSN、0.21wt%的bockbim、以及0.59wt%的NBC-101(所有wt%为相对于聚合物总重量而言)的70/30wt%的PGMEA/环乙酮共溶剂中,以形成具有4.3wt%固体含量的溶液。所形成的溶液以0.2μm的过滤器过滤。将抗蚀剂旋涂于12”硅片上,该硅片具有厚度42nm的Rohm和HaasAR40A涂层。将抗蚀剂在约110℃进行涂布后烘烤(PAB)约60秒,并曝光于ASML步进机(1.2NA,30°类星体照射,具有0.85外和0.6内σ环形照射)上的193nm波长的光。然后硅片在约120℃进行曝光后烘烤(PEB)约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,解析出节距140nm的35nm线。然后硅片在约200℃进行显像后烘烤(PDB)约90秒。
然后将JSR AM2073J抗蚀剂旋涂于上述涂布并显像的硅片上。抗蚀剂在约110℃进行涂布后烘烤约60秒,且曝光于ASML步进机(1.2NA,30°类星体照射,具有0.85外和0.6内σ环形照射)上的193nm波长的光。在曝光期间,偏移调制盘,使得同组的35nm线可印在第一层抗蚀剂之间。然后硅片在约120℃进行曝光后烘烤约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,将第二抗蚀剂的35nm线印在第一抗蚀剂的另一35nm线之间,而形成35nm线及70nm节距的间隔。
实施例8
N-9H-芴-9-基甲氧基羰基-4-哌啶(FCP)的合成
在0℃向在50ml二氯甲烷中的9-芴基甲基氯甲酸酯(2.58g,1o mmol)中逐滴添加哌啶(1.7g,20mmol)。在将所得混合物在室温搅拌过夜之前将其在0℃搅拌1小时。然后将混合物通过Celite过滤并用50ml二氯甲烷洗涤。所得有机层依次用100ml水、100ml的5%HCl水溶液、和100ml饱和盐水洗涤。在MgSO4上干燥有机层并通过蒸发去除溶剂。最终产品真空干燥得到2.3克白色固体产品(75%)。1HNMR:7.80(2H,d,7.6Hz),7.62(2H,d,7.2Hz),7.43(2H,t,7.6Hz),7.34(2H,t,7.2Hz),4.42(2H,d,6.8Hz),4.30(1H,t,7.2Hz),3.48(4H,t,5.6H),1.68-1.51(6H,br)。
实施例9
1,3-双(N-9H-芴-9-基甲氧基羰基-4-哌啶基)丙烷(DFC)的合成
在0℃向在50ml二氯甲烷中的9-芴基甲基氯甲酸酯(2.58g,10mmol)中逐滴添加1,3-二哌啶基丙烷(2.1g,10mmol)。在将所得混合物在室温搅拌过夜之前将其在0℃搅拌1小时。然后将混合物通过Celite过滤并用50ml二氯甲烷洗涤。所得有机层依次用100ml水、100ml的5%HCl水溶液、和100ml饱和盐水洗涤。在MgSO4上干燥有机层并通过蒸发去除溶剂。最终产品用乙醇重结晶并真空干燥,从而得到2.0克白色固体产品(60%)。1HNMR:7.80(4H,d,7.2Hz),7.62(4H,d,7.6Hz),7.43(4H,t,7.6Hz),7.34(4H,t,7.2Hz),4.44(4H,d,6.0Hz),4.28(2H,t,7.2Hz),4.25-4.04(4H,br),2.90-2.70(4H,br),1.71(4H,br),1.50-1.30(4H,br),1.30-1.20(4H,br),1.20-1.00(4H,br)。
实施例10
将溶于PGMEA溶剂的由40摩尔%的MAdMA、10摩尔%的MCpMA、15摩尔%的HAdMA、以及35摩尔%的NLM构成的四元共聚物(MAdMA/MCpMAHAdMA/NLM)用于(1)与7wt%的BPIN、0.6wt%的bockbim和0.7wt%的NBC-101(所有wt%为相对于聚合物而言)配制以制备具有2.5wt%固体含量的溶液而形成抗蚀剂A;(2)与7wt%的BPIN、0.6wt%的bockbim和1.7wt%的DFC(所有wt%为相对于聚合物而言)配制以制备具有2.5wt%固体含量的溶液而形成抗蚀剂B;和(3)与7wt%的BPIN、0.6wt%的bockbim和4.6wt%的DFC(所有wt%为相对于聚合物而言)配制以制备具有2.5wt%固体含量的溶液而形成抗蚀剂C。所形成的溶液以0.2μm的过滤器过滤。将抗蚀剂旋涂于12”硅片上,该硅片具有厚度35nm的Shin Etsu SHA940涂层和厚度135nm的JSR HM8006涂层。将抗蚀剂在约110℃进行涂布后烘烤(PAB)约60秒,并曝光于ASML步进机(1.2NA,90°偶极照射,具有0.72外和0.587内σ)上的193nm波长的光。然后硅片在约130℃进行曝光后烘烤(PEB)约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程将涂布的硅片显像约30秒。在这些工艺条件下,解析出节距130nm的32.5nm线。然后硅片在约200℃进行显像后烘烤(PDB)约90秒。
然后将JSR AM2073J抗蚀剂旋涂于上述涂布并显像的硅片上。将抗蚀剂在约110℃进行涂布后烘烤约60秒,且曝光于ASML步进机(1.2NA,90°偶极照射,具有0.72外和0.587内σ环形照射)上的193nm波长的光。在曝光期间,偏移调制盘,使得同组的32.5nm线可印在第一层抗蚀剂之间。然后硅片在约120℃进行曝光后烘烤约60秒。以0.263N TMAH显像剂(Moses Lake的AD-10),使用单熔融部分式显像过程进行显像约30秒。
在这些工艺条件下,对于抗蚀剂B和C,将第二抗蚀剂的32.5nm线印在第一抗蚀剂的另一32.5nm线之间,而形成32.5nm线及65nm节距的间隔。对于抗蚀剂A,第二层线存在而第一层线消失。NBC-101和DFC的热分解起始温度分别为203℃和低于165℃。在200℃的显像后烘烤温度下,仅DFC会释放热碱而使得抗蚀剂B和C去敏化,但是对于抗蚀剂A并不如此。抗蚀剂B和抗蚀剂C的剂量大小同样与DFC的加载量无关。
本发明实施方案的前述说明用于例示及说明的目的。其不是穷尽性描述,也不是要将本发明限于所公开的具体形式。并且本发明显然还有许多修改和变化。本领域技术人员清楚这样的修改和变化均在本发明范围内。

Claims (14)

1.一种方法,其包括:
在基材上形成第一光致抗蚀剂的第一薄膜,该第一光致抗蚀剂包含第一聚合物、在高于第一温度能释出碱的热碱产生剂、在曝露于辐射时能产生酸的第一光敏酸产生剂、以及第一溶剂,该第一光致抗蚀剂具有的特性为:实质可溶于该溶剂,并且该第一光致抗蚀剂在加热至高于第二温度后变成实质不可溶于该溶剂;
透过第一掩膜图案式成像该第一薄膜,该成像包括将该第一薄膜的至少一个区域曝光于辐射,导致在该第一薄膜的该至少一个曝光区域中产生第一酸催化剂;
在该成像后,在水性碱中显像该第一薄膜,导致移除该第一薄膜的可碱溶曝光区域,以及形成该第一薄膜的第一图案化层;以及
在高于该第一温度及高于该第二温度烘烤该第一图案化层,其中该烘烤导致该第一热碱产生剂在该第一图案化层内释出碱,其中该烘烤导致该第一图案化层变成实质不可溶于该第一溶剂。
2.根据权利要求1所述的方法,其还包括:
在该烘烤后,在该第一图案化层上形成第二光致抗蚀剂的第二薄膜,该第二光致抗蚀剂包含第二聚合物和第二光敏酸产生剂;
透过第二掩膜,同时图案式成像该第一图案化层和该第二薄膜,该同时成像将该第二薄膜的至少一个区域曝光于辐射,导致在该第二薄膜的该至少一个曝光区域中产生第二酸催化剂,其中在该第一图案化层中产生该酸催化剂,且所述碱中和该第一图案化层中的该酸催化剂;以及
显像该第二薄膜,导致移除该第二薄膜的区域,以及形成该第二薄膜的第二图案化层。
3.根据权利要求1所述的方法,其还包括在该图案式成像该第一薄膜之后,以及在显像该第一薄膜之前,在约80℃至约150℃间的第三温度烘烤该第一薄膜。
4.根据权利要求1所述的方法,其中该第一温度及该第二温度各自独立地为约140℃至约260℃。
5.根据权利要求2所述的方法,其中该第一聚合物与该第二聚合物不同。
6.根据权利要求2所述的方法,其中该显像该第二薄膜包括在水性碱中显像该第二薄膜,其中移除该第二薄膜的区域包括移除该第二薄膜的曝光的可碱溶区域。
7.根据权利要求1所述的方法,其中该热碱产生剂包含至少一个氨基甲酸酯结构部分。
8.根据权利要求7所述的方法,其中该热碱产生剂选自以下所组成的群组:(i)结构:
Figure FDA0000137730250000021
其中各个R1或R2独立地选自氢原子、线性烷基、支化烷基、环烷基、卤化线性烷基、卤化支化烷基、卤化环烷基、芳基、卤化芳基及其结合,和(ii)所述结构(i)的二聚体。
9.根据权利要求1所述的方法,其中该热碱产生剂包含选自以下所组成的群组的化合物:酰胺、磺酰胺、酰亚胺、亚胺、O-酰基肟、苯甲酰基氧基羰基衍生物、季铵盐、以及硝苯地平。
10.根据权利要求1所述的方法,其中该第一聚合物包含选自以下所构成的群组的第一重复单元:
Figure FDA0000137730250000022
Figure FDA0000137730250000031
以及
Figure FDA0000137730250000032
11.根据权利要求10所述的方法,其中该聚合物还包含具有至少一个叔酯结构部分的第二重复单元,其中该至少一个叔酯结构部分选自以下所组成的群组:甲基金刚烷的酯、乙基金刚烷的酯、甲基环戊烷的酯、乙基环戊烷的酯、甲基环己烷的酯、乙基环己烷的酯、甲基环庚烷的酯、乙基环庚烷的酯、甲基环辛烷的酯、乙基环辛烷的酯、以及叔丁基的酯群组。
12.根据权利要求11所述的方法,其中该聚合物还包含具有至少一个烷基醇或至少一个羧酸结构部分的第三重复单元。
13.根据权利要求12所述的方法,其中该第三重复单元选自以下所构成的群组:
Figure FDA0000137730250000041
14.根据权利要求12所述的方法,其中该聚合物还包含具有选自以下所组成的群组的结构部分的第四重复单元:磺酰胺、氟化磺酰胺、氟代醇、二羧基酰亚胺、N-羟基二羧基酰亚胺、酚、萘酚、氨基及亚氨基群组。
CN201210043396.9A 2007-12-13 2008-12-05 光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法 Expired - Fee Related CN102591161B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/955,451 US7838198B2 (en) 2007-12-13 2007-12-13 Photoresist compositions and method for multiple exposures with multiple layer resist systems
US11/955,451 2007-12-13

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2008801190576A Division CN101884013B (zh) 2007-12-13 2008-12-05 光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法

Publications (2)

Publication Number Publication Date
CN102591161A true CN102591161A (zh) 2012-07-18
CN102591161B CN102591161B (zh) 2014-06-11

Family

ID=40427142

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210043396.9A Expired - Fee Related CN102591161B (zh) 2007-12-13 2008-12-05 光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法
CN2008801190576A Expired - Fee Related CN101884013B (zh) 2007-12-13 2008-12-05 光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008801190576A Expired - Fee Related CN101884013B (zh) 2007-12-13 2008-12-05 光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法

Country Status (6)

Country Link
US (1) US7838198B2 (zh)
JP (1) JP5490013B2 (zh)
KR (1) KR20100092470A (zh)
CN (2) CN102591161B (zh)
TW (1) TWI416255B (zh)
WO (1) WO2009074522A1 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5035562B2 (ja) * 2007-08-22 2012-09-26 信越化学工業株式会社 パターン形成方法
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
JP5175579B2 (ja) * 2008-02-25 2013-04-03 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP5573356B2 (ja) * 2009-05-26 2014-08-20 信越化学工業株式会社 レジスト材料及びパターン形成方法
TWI420571B (zh) * 2009-06-26 2013-12-21 羅門哈斯電子材料有限公司 形成電子裝置的方法
JP5184460B2 (ja) * 2009-07-24 2013-04-17 信越化学工業株式会社 パターン形成方法
JP5516195B2 (ja) * 2009-08-04 2014-06-11 信越化学工業株式会社 パターン形成方法及びレジスト材料
JP5244740B2 (ja) * 2009-08-26 2013-07-24 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、及びそれを用いたパターン形成方法
JP5624742B2 (ja) * 2009-10-02 2014-11-12 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法
JP5753351B2 (ja) * 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 電子デバイスを形成する方法
JP5542500B2 (ja) * 2010-03-30 2014-07-09 東京応化工業株式会社 レジストパターン形成方法およびレジスト組成物
JP5621735B2 (ja) * 2010-09-03 2014-11-12 信越化学工業株式会社 パターン形成方法及び化学増幅ポジ型レジスト材料
US8394573B2 (en) 2010-09-16 2013-03-12 International Business Machines Corporation Photoresist compositions and methods for shrinking a photoresist critical dimension
KR20130114095A (ko) * 2010-09-17 2013-10-16 제이에스알 가부시끼가이샤 감방사선성 수지 조성물, 중합체 및 레지스트 패턴 형성 방법
US20120122031A1 (en) * 2010-11-15 2012-05-17 International Business Machines Corporation Photoresist composition for negative development and pattern forming method using thereof
CN102566285B (zh) * 2010-12-09 2014-05-28 远东新世纪股份有限公司 制造微结构的方法及该微结构
EP2492749A1 (en) * 2011-02-28 2012-08-29 Rohm and Haas Electronic Materials LLC Photoresist compositions and methods of forming photolithographic patterns
EP2492750A1 (en) * 2011-02-28 2012-08-29 Rohm and Haas Electronic Materials LLC Photoresist compositions and methods of forming photolithographic patterns
JP5898985B2 (ja) 2011-05-11 2016-04-06 東京応化工業株式会社 レジストパターン形成方法
KR101913865B1 (ko) 2011-09-22 2018-10-31 도오꾜오까고오교 가부시끼가이샤 레지스트 조성물 및 레지스트 패턴 형성 방법
KR101936435B1 (ko) 2011-09-22 2019-01-08 도오꾜오까고오교 가부시끼가이샤 레지스트 조성물, 레지스트 패턴 형성 방법
KR20130039124A (ko) * 2011-10-11 2013-04-19 삼성전자주식회사 반도체 소자의 패턴 형성방법
JP5898962B2 (ja) * 2012-01-11 2016-04-06 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
JP6075369B2 (ja) * 2012-03-14 2017-02-08 Jsr株式会社 フォトレジスト組成物、レジストパターン形成方法及び酸拡散制御剤
JP5593357B2 (ja) * 2012-09-18 2014-09-24 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP6132554B2 (ja) * 2013-01-08 2017-05-24 アイバイツ株式会社 光塩基発生剤組成物
WO2016043203A1 (ja) * 2014-09-17 2016-03-24 富士フイルム株式会社 ポジ型感光性樹脂組成物、硬化膜の製造方法、硬化膜、液晶表示装置、有機エレクトロルミネッセンス表示装置およびタッチパネル
TWI666257B (zh) * 2014-12-30 2019-07-21 Sumitomo Bakelite Co., Ltd. 含有熱鹼產生劑之光可成像組成物
TWI636326B (zh) * 2015-05-15 2018-09-21 南韓商羅門哈斯電子材料韓國公司 光鹼產生劑及包括其的光致抗蝕劑組成物
WO2019240891A1 (en) * 2018-06-15 2019-12-19 Mattson Technology, Inc. Methods and apparatus for post exposure bake processing of a workpiece

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0926555A1 (en) * 1997-12-24 1999-06-30 Agfa-Gevaert N.V. Photoactive materials applicable to imaging systems.
JP2005017354A (ja) * 2003-06-23 2005-01-20 Fuji Photo Film Co Ltd ホログラム記録材料用組成物、ホログラム記録材料及びホログラム記録方法。
US6969577B2 (en) * 2003-03-04 2005-11-29 Fuji Photo Film Co., Ltd. Positive resist composition
US6991893B2 (en) * 2002-10-31 2006-01-31 Intel Corporation Controlling resist profiles through substrate modification
US20060127816A1 (en) * 2004-12-10 2006-06-15 Samsung Electronics Co., Ltd. Double photolithography methods with reduced intermixing of solvents
US20060160028A1 (en) * 2005-01-17 2006-07-20 Hyung-Rae Lee Method of forming fine patterns of a semiconductor device

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
US5206117A (en) 1991-08-14 1993-04-27 Labadie Jeffrey W Photosensitive polyamic alkyl ester composition and process for its use
US5576143A (en) 1991-12-03 1996-11-19 Fuji Photo Film Co., Ltd. Light-sensitive composition
US5932391A (en) 1995-08-18 1999-08-03 Kabushiki Kaisha Toshiba Resist for alkali development
JPH1010741A (ja) 1996-06-27 1998-01-16 Dow Corning Asia Kk 紫外線硬化性ポリシロキサン組成物およびこれを用いた硬化物パターンの製造方法
US6177360B1 (en) 1997-11-06 2001-01-23 International Business Machines Corporation Process for manufacture of integrated circuit device
JPH11269138A (ja) * 1998-03-20 1999-10-05 Mitsubishi Paper Mills Ltd 有機塩基発生剤
US6303266B1 (en) 1998-09-24 2001-10-16 Kabushiki Kaisha Toshiba Resin useful for resist, resist composition and pattern forming process using the same
DE10120675B4 (de) 2001-04-27 2005-07-21 Infineon Technologies Ag Verfahren zur Strukturierung einer Photolackschicht
JP4265286B2 (ja) * 2002-06-03 2009-05-20 Jsr株式会社 感放射線性樹脂組成物
JP4154953B2 (ja) * 2002-08-09 2008-09-24 日立化成デュポンマイクロシステムズ株式会社 ポジ型感光性樹脂組成物、それを用いたパターンの製造方法および電子部品
JP4612999B2 (ja) 2003-10-08 2011-01-12 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP4877388B2 (ja) * 2007-03-28 2012-02-15 Jsr株式会社 ポジ型感放射線性組成物およびそれを用いたレジストパターン形成方法
JP2008286924A (ja) * 2007-05-16 2008-11-27 Panasonic Corp 化学増幅型レジスト材料、トップコート膜形成用材料及びそれらを用いたパターン形成方法
US7803521B2 (en) * 2007-11-19 2010-09-28 International Business Machines Corporation Photoresist compositions and process for multiple exposures with multiple layer photoresist systems

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0926555A1 (en) * 1997-12-24 1999-06-30 Agfa-Gevaert N.V. Photoactive materials applicable to imaging systems.
US6991893B2 (en) * 2002-10-31 2006-01-31 Intel Corporation Controlling resist profiles through substrate modification
US6969577B2 (en) * 2003-03-04 2005-11-29 Fuji Photo Film Co., Ltd. Positive resist composition
JP2005017354A (ja) * 2003-06-23 2005-01-20 Fuji Photo Film Co Ltd ホログラム記録材料用組成物、ホログラム記録材料及びホログラム記録方法。
US20060127816A1 (en) * 2004-12-10 2006-06-15 Samsung Electronics Co., Ltd. Double photolithography methods with reduced intermixing of solvents
US20060160028A1 (en) * 2005-01-17 2006-07-20 Hyung-Rae Lee Method of forming fine patterns of a semiconductor device

Also Published As

Publication number Publication date
JP2011508246A (ja) 2011-03-10
CN101884013A (zh) 2010-11-10
TW200938952A (en) 2009-09-16
CN101884013B (zh) 2013-04-10
US7838198B2 (en) 2010-11-23
KR20100092470A (ko) 2010-08-20
CN102591161B (zh) 2014-06-11
TWI416255B (zh) 2013-11-21
WO2009074522A1 (en) 2009-06-18
US20090155718A1 (en) 2009-06-18
JP5490013B2 (ja) 2014-05-14

Similar Documents

Publication Publication Date Title
CN101884013B (zh) 光致抗蚀剂组合物及多层抗蚀剂体系的多次曝光方法
TWI472873B (zh) 多重曝光微影法及光阻組成物
US7838200B2 (en) Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP3875474B2 (ja) フォトレジスト組成物、フォトレジストパターン形成方法および半導体素子の製造方法
JP4530368B2 (ja) 活性化エネルギーの低いケイ素含有レジスト・システム
TWI304155B (en) Negative type resist composition
US20070122734A1 (en) Molecular photoresist
TWI553001B (zh) 酸產生劑及含該酸產生劑之光阻劑
TW588217B (en) Positive resist composition
JP2006154818A (ja) フォトレジスト組成物
KR20140097133A (ko) 하이브리드 포토레지스트 조성물 및 그것을 이용한 패턴 형성 방법
US6171749B1 (en) Negative-working chemical-amplification photoresist composition
JP5179270B2 (ja) フォトリソグラフィ用途のための縮合芳香族構造体及び方法
TWI307451B (en) Photoresist composition
JPH07271037A (ja) ポジ型感電離放射線性樹脂組成物
JP2001272781A (ja) ポジ型感放射線性樹脂組成物
EP1586005B1 (en) High sensitivity resist compositions for electron-based lithography
US7314700B2 (en) High sensitivity resist compositions for electron-based lithography
JP4677423B2 (ja) 電子ベース・リソグラフィのための高感度レジスト組成物
KR100737553B1 (ko) 전자계 리소그래피용 고감도 레지스트 조성물
JPH0346659A (ja) レジスト組成物
KR20060109696A (ko) 포토레지스트 중합체, 이를 포함하는 포토레지스트 조성물및 이를 이용한 반도체 소자의 패턴 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171025

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171025

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140611

Termination date: 20191205

CF01 Termination of patent right due to non-payment of annual fee