WO2009074522A1 - Photoresist compositions and method for multiple exposures with multiple layer resist systems - Google Patents

Photoresist compositions and method for multiple exposures with multiple layer resist systems Download PDF

Info

Publication number
WO2009074522A1
WO2009074522A1 PCT/EP2008/066915 EP2008066915W WO2009074522A1 WO 2009074522 A1 WO2009074522 A1 WO 2009074522A1 EP 2008066915 W EP2008066915 W EP 2008066915W WO 2009074522 A1 WO2009074522 A1 WO 2009074522A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
polymer
esters
acid
group
Prior art date
Application number
PCT/EP2008/066915
Other languages
French (fr)
Inventor
Kuang-Jung Chen
Wai-Kin Li
Wu-Song Huang
Pushkara Varanasi
Sen Liu
Original Assignee
International Business Machines Corporation
Ibm United Kingdom Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation, Ibm United Kingdom Limited filed Critical International Business Machines Corporation
Priority to CN2008801190576A priority Critical patent/CN101884013B/en
Priority to JP2010537397A priority patent/JP5490013B2/en
Publication of WO2009074522A1 publication Critical patent/WO2009074522A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Definitions

  • the invention relates to photoresist compositions and methods for photolithography using the same.
  • Optical photolithography has been the major technique for the semiconductor industry. Many resolution enhancement technology (RET) methods have also contributed to the extension of optical photolithography to print very low ki images.
  • Double exposure (DE) has emerged as a method to reduce ki in the fabrication of integrated circuit chips.
  • Several double exposure schemes have been developed including double dipole lithography (DDL) and double exposure double etch (DE 2 ).
  • DDL double dipole lithography
  • DE 2 double exposure double etch
  • the present invention relates to a resist composition, comprising: a polymer comprising first repeating units containing a lactone moiety, said polymer having the properties of being substantially soluble in a first solvent and becoming substantially insoluble in said first solvent after heating said polymer to a first temperature of about 140° C or greater; a thermal base generator capable of releasing a base upon heating said thermal base generator above a second temperature; and a photosensitive acid generator capable of releasing acid upon exposure to radiation
  • the present invention relates to a method comprising: forming a first film of a first photoresist on a substrate, said first photoresist comprising a first polymer, a thermal base generator capable of releasing a base above a first temperature, a first photosensitive acid generator capable of generating an acid upon exposure to radiation, and a first solvent, said first photoresist having the properties of being substantially soluble in said solvent and becoming substantially insoluble in said solvent after heating said first photoresist to above a second temperature; patternwise imaging
  • FIG. 1 is a flow chart illustrating a method for forming patterned layers, in accordance with embodiments of the present invention.
  • FIG. 2 A is an illustration of a film disposed on a substrate, in accordance with embodiments of the present invention.
  • FIG. 2B is an illustration of patternwise imaging the film of FIG. 2A, in accordance with embodiments of the present invention.
  • FIG. 2C is an illustration of the film of FIG. 2B after removal of base-soluble exposed regions of FIG. 2B, in accordance with embodiments of the present invention.
  • FIG. 2D is an illustration of the first patterned layer of FIG. 2C after a second film of a second photoresist is formed over the first patterned layer, in accordance with embodiments of the present invention.
  • FIG. 2E is an illustration of the layers of FIG. 2D upon simultaneous exposure to radiation or energetic particles, in accordance with embodiments of the present invention.
  • FIG. 2F is an illustration of the films of FIG. 2E after developing, in accordance with embodiments of the present invention.
  • FIG. 1 is a flow chart illustrating a method for forming patterned layers, such as in photolithography, in an embodiment of the present invention.
  • a first film of a first photoresist is formed on a substrate.
  • the photoresist may comprise a first polymer, a thermal base generator capable of releasing a base above a first temperature, and a first photosensitive acid generator.
  • the first polymer may have the property of being substantially soluble in a solvent and becoming substantially insoluble in the solvent after heating the polymer, such as to a temperature of about 140° C or greater, such as from about 140° C to about 260° C for example.
  • the photoresist composition may have the property of being substantially soluble in a solvent and becoming substantially insoluble in the solvent after heating the polymer, such as to a temperature of about 140° C or greater, such as from about 140° C to about 260° C for example.
  • the polymer of the photoresist may comprise repeating units of one or more structures, for example the polymer may comprise a copolymer, a terpolymer, a tetrapolymer, etc.
  • the polymer may have repeating units containing a lactone moiety in combination with repeating units containing at least one acid labile moiety, where such a configuration may impart good lithographic performance to the photoresist. Lactone moieties may improve dissolution in aqueous developer.
  • repeating units containing lactones moieties include 5-methacryloyloxy-2, 6-norbornanecarbo- ⁇ -lactone, 3-methacryloyloxymethyl-2, 6- norbornanecarbo lactone, 3-acryloyloxymethyl-2, 6-norbornanecarbo lactone, ⁇ - acryloyloxy- ⁇ -butyrolactone, ⁇ -methacryloyloxy- ⁇ -butyrolactone, ⁇ -acryloyloxy- ⁇ - butyrolactone and ⁇ -methacryloyloxy- ⁇ -butyrolactone.
  • tertiary ester moieties include those that can be deprotected by acid generated by the photoacid generator.
  • tertiary ester moieties include ester derivatives of structural groups such as: methyladamantane, ethyladamantane, methylcyclopentane, ethylcyclopentane, methylcyohexane, ethylcycohexane, methylcycloheptane, ethylcyclohepatane, methylcyclooctane, ethylcyclooctane, and t-butyl.
  • the polymer may comprise first repeating units of having lactone moieties such as
  • polymer may further comprise second repeating units having at least one tertiary ester moiety such as
  • the polymer may be represented by:
  • the polymer may further comprise third repeating units, where the third repeating units may enhance the change of the solubility of the photoresist in the casting solvent from substantially soluble to substantially insoluble, such as during a high temperature bake.
  • substantially insoluble is intended to comprise having such a small degree of solubility so as to not affect the quality of an image formed from a photoresist by loss of material (e.g. polymer, photoresist, etc.) through dissolution into aqueous base solution or photoresist solvents from regions of the photoresist layer not containing photosensitive acid generator derived acid.
  • material e.g. polymer, photoresist, etc.
  • substantially soluble is intended to comprise having a high enough degree of solubility in aqueous base solutions or solvents so to allow all or almost all (i.e., any remaining material is present such a small amounts so as to not interfere with subsequent processing steps) of the material (e.g.
  • substantially insoluble is intended to include polymers completely or almost completely insoluble in photoresist solvents.
  • substantially soluble is intended to include polymers completely or almost completely soluble in photoresist solvents.
  • the polymer dissolution rates affect the dissolution rates of the photoresist layers most strongly, thus a substantially insoluble polymer may render substantially insoluble a photoresist comprising that polymer.
  • Substantially insoluble photoresists have a dissolution rate of less than about 0.2 nanometers/second (nm/s) in solvent or aqueous base, while substantially soluble photoresists have a dissolution rate of greater than about 5 nm/s in solvent or aqueous base.
  • Photoacid generators, quencher and other additives may also alter the dissolution rates of the final photoresist layer.
  • the third repeating units may comprise hydroxyl groups, such as alkyl alcohols, carboxylic acids, or a combination of these, such as:
  • the polymer structure may further comprise fourth repeating units.
  • the fourth repeating units may be configured to stabilize the photoresist image during developing process, wherein the fourth repeating units may have some solubility toward aqueous developer and may contain a moiety selected from the group consisting of sulfonamides, fluorinated sulfonamides, fluoroalcohols, dicarboxyimides, N-hydroxy dicarboxyimides, phenol, naphthol, amino and imino groups.
  • An example of a fourth repeating unit includes:
  • An example of a resist polymer comprising a fourth repeating unit includes:
  • the fourth repeating unit may comprise structures described above for the second repeating units, such as MAdMA, EtAdMA, MCpMA, ECpMA, and ECoMA, such that the polymer structure comprises two different repeating units containing at least two different tertiary ester moieties.
  • the difference in deprotection rates of the two ester groups may improve the stability of an image produced from the resist composition.
  • Examples of polymers having a fourth repeating unit and comprising at least two different tertiary ester moieties include:
  • the polymer of the photoresist may comprise a polymer blend of two or more polymers, such as blends of two or more of the polymers described above.
  • the photoresist may further comprise a thermal base generator, where the thermal base generator may be capable of generating a base above a first temperature, T.
  • T is a temperature of about 140° C or greater, such as between about 140° C and about 260° C.
  • the thermal base generator may comprise a compound belonging to a group such as amides, sulfonamides, imides, imines, O-acyl oximes, benzoyloxycarbonyl derivatives, quarternary ammonium salts, and nifedipines, examples of which may include o- ⁇ ( ⁇ - (dimethylamino)ethyl)aminocarbonyl ⁇ benzoic acid, o- ⁇ ( ⁇ - (dimethylamino)propyl)aminocarbonyl ⁇ benzoic acid, 2,5-bis ⁇ ( ⁇ - (dimethylamino)ethyl)aminocarbonyl ⁇ terephthalic acid, 2,5-bis ⁇ ( ⁇ - (dimethyla
  • the thermal base generator may comprise at least one carbamate moiety.
  • the preferred thermal base generators include fluorenylmethyl carbamates, phenylsulfonylethyl carbamates, and 3-nitropentane-2-yl carbamates, such as. wherein each R 1 or R 2 is independently selected from the group consisting of a hydrogen atom, a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, and wherein R 4 may be hydrogen or alkyl.
  • the halogen is preferably selected from fluorine, chlorine, and bromine.
  • each R 1 or R 2 may be independently selected from the group consisting of a fluorinated linear alkyl, a fluorinated branched alkyl, a fluorinated cycloalkyl, an aryl, a fluorinated aryl, and combinations thereof.
  • thermal base generators described above may also be linked to form dimer structures, where such linking may prevent or reduce volatility of the base produced.
  • dimer forms of thermal base generators include:
  • each R 1 or R 2 is independently selected from the group consisting of a hydrogen atom, a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, wherein R 3 is selected from the group consisting of a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, and wherein R 4 may be hydrogen or alkyl.
  • each R 1 or R 2 may be independently selected from the group consisting of a fluorinated linear alkyl, a fluorinated branched alkyl, a fluorinated cycloalkyl, an aryl, a fluorinated aryl, and combinations thereof, wherein R is selected from the group consisting of a fluorinated linear alkyl, a fluorinated branched alkyl, a fluorinated cycloalkyl, an aryl, a fluorinated aryl, and combinations thereof.
  • the most preferable thermal base generators are fluorenylmethyl carbamates, especially those fluorenylmethyl carbamates having thermal decomposition temperatures (Td) below 220° C and preferably below 200° C. Fluorenylmethyl carbamates usually have lower Tj than other thermal base generators resulting to the generation of more bases than other thermal base generators during a post development bake at a temperature in the range between about 140° C and about 260° C. The preferred post development bake temperature is between about 150° C and about 220° C.
  • N-9H-fluoren-9-ylmethoxycarbonyl-4-piperidine as shown below has a first Td of 105° C and a second T d of 160° C,
  • N-[[l-(3,5-dimethoxyphenyl)-l-methylethoxy]carbonyl]piperidine as shown below has a Td of 193° C.
  • the above described preferred thermal base generators are usually not sensitive to the commonly used optical radiation sources such as 193nm and 248nm light sources. Therefore, the loading of these base generators in a resist formulation generally would not have significant effect on the lithographic dose, as long as the baking temperature of the resist is not higher than the thermal decomposition temperature of the thermal base generators.
  • the photoresist may comprise epoxide moieties or urethane moieties for base catalyzed crosslinking after subjecting the photoresist to a temperature above first temperature, T, baking such as to render the photoresist film insoluble to its own casting solvent.
  • the photoresist may also comprise amic ester moieties for base catalyzed imidization, or pendant carboxyl moieties for base catalyzed decarboxylation, or carbonyl moieties (aldehyde moieties and ketone moieties) and active methylene moieties for base catalyzed cross-linking through Knoevenagel reaction.
  • the photoresist may further comprise a photosensitive acid generator (PAG), capable of releasing or generating acid upon exposure to radiation.
  • PAG photosensitive acid generator
  • the PAG may comprise, for example (trifluoro-methylsulfonyloxy)-bicyclo[2.2.1 ]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxy-naphthalimide (DDSN), onium salts, aromatic diazonium salts, sulfonium salts, diaryliodonium salts, sulfonic acid esters of N-hydroxyamides, imides, or combinations thereof.
  • the photoresist may further comprise a surfactant.
  • Surfactants may be used to improve coating uniformity, and may include ionic, non-ionic, monomeric, oligomeric, and polymeric species, or combinations thereof. Examples of possible surfactants include fluorine- containing surfactants such as the FLUORAD series available from 3M Company in St. Paul, Minn., and siloxane-containing surfactants such as the SILWET series available from Union Carbide Corporation in Danbury, CT, USA.
  • the photoresist may include a casting solvent to dissolve the other components, so that the photoresist may be applied evenly on the substrate surface to provide a defect-free coating.
  • the solvent used in the imaging layer may not be a solvent to the underlayer materials, otherwise unwanted intermixing may occur.
  • suitable casting solvents may include ethers, glycol ethers, aromatic hydrocarbons, ketones, esters, ethyl lactate, ⁇ -butyrolactone, cyclohexanone, ethoxyethylpropionate (EEP), a combination of EEP and gamma-butyrolactone (GBL), and propylene glycol methyl ether acetate (PGMEA).
  • the present invention is not limited to the selection of any particular solvent.
  • the photoresist may include a base quencher, sensitizers or other expedients known in the art.
  • base quenchers may comprise aliphatic amines, aromatic amines, carboxylates, hydroxides, or combinations thereof.
  • base quenchers may include: dimethylamino pyridine, 7-diethylamino-4-methyl coumarin (Coumarin 1), tertiary amines, sterically hindered diamine and guanidine bases such as 1,8- bis(dimethylamino)naphthalene (PROTON SPONGE), berberine, or polymeric amines such as in the PLURONIC or TETRONIC series commercially available from BASF. Tetra alkyl ammonium hydroxides or cetyltrimethyl ammonium hydroxide may be used as a base quencher when the PAG is an onium salt.
  • the photoresist composition is not limited to any specific proportions of the various components.
  • the photoresist composition may comprise about 1% by weight (wt %) to about 30 wt % of polymer based on the total weight of the composition, such as from about 2 wt % to about 15 wt %.
  • the photoresist composition may comprise from about 0.1 wt % to about 30 wt % thermal base generator based on the weight of the polymer in the composition, such as from about 0.1 wt % to about 20 wt %.
  • the photoresist composition may comprise from about 0.5 wt % to about 20 wt % photoacid generator based on the weight of the polymer in the composition, such as from about 0.5 wt % to about 10 wt %.
  • the photoresist composition may comprise from about 70 wt % to about 99 wt. % solvent based on the total weight of the composition, such as from about 85 wt % to about 98 wt %.
  • the photoresist composition may further include about 0.1 wt % to about 1.0 wt % of base quencher based on the total weight of the polymer in the composition.
  • the photoresist composition may further include about 0.001 wt % to about 0.1 wt % of surfactant based on the total weight of polymer in the composition.
  • the photoresist may further comprise a surfactant and/or a base quencher as described above.
  • the film may be formed by processes such as spin coating, spray coating, dip coating, doctor-blading, roll coating, and the like, which may be used individually or in combinations thereof in accordance with the methods of the present invention.
  • the substrate may comprise materials of one or more of the IUPAC Groups 4, 6, 11, 12, 13, 14, and 15 elements, plastic material, silicon dioxide, glass, fused silica, mica, ceramic, metals deposited on the aforementioned substrates, combinations thereof, and the like.
  • the substrate may comprise a stack or layering of different materials.
  • a substrate used in a trilayer approach there may be a comparatively thick organic underlayer and a thin Si containing interlayer, where the Si containing layer may either be a chemical vapor deposited silicon oxide layer or a spin coated silsesquioxane polymer film.
  • a substrate may comprise a prepared silicon wafer substrate such as those employed in semiconductor manufacturing. The films and layers described herein may be disposed on top of the substrate or may be integrally joined with the substrate.
  • step 115 the film is imaged patternwise through a first mask using a radiation or particle beam source, wherein at least one region of the first film is exposed to radiation or the particle beam, resulting in the photosensitive acid generator producing an acid catalyst in the at least one exposed region of the film, rendering the exposed regions of the resist substantially soluble in a developer.
  • a radiation or particle beam source for a chemically amplified resist system, baking may deprotect acid labile groups in the polymer, regenerating base soluble groups through an acid catalyzed amplification process, thus the bake may render the exposed regions of the resist substantially soluble in a developer.
  • FIG. 2A is an illustration of a film 205 disposed on a substrate 200, such as the films and substrates described above.
  • FIG. 2B is an illustration of patternwise imaging the film of FIG. 2A as described for step 115 of FIG. 1, wherein a radiation or particle beam source 210 projects radiation or energetic particles through a patterned mask 215 onto the film 205 disposed on a substrate 200.
  • the mask may have a pattern of masked sections 225 which are essentially opaque to the radiation or impenetrable to the energetic particles, and unmasked sections 220 which are essentially transparent to the radiation or penetrable to the energetic particles.
  • Radiation or particles passing through the unmasked sections 220 may be transmitted to the film 205 to be absorbed in the exposed regions 230 of the film 205, wherein the radiation or particles may induce the production of an acid catalyst in the exposed regions 230 of the film 205.
  • Unexposed regions 232 of film 205 may not produce an acid catalyst.
  • UV ultraviolet
  • nm deep-ultraviolet
  • DUV deep-ultraviolet
  • EUV extreme-ultraviolet
  • Various wavelengths of radiation may be used such as 313 nm, 334 nm, 405 nm, and 126 nm etc., where the sources may be mainly from specific mercury emission lines or specific lasers.
  • single wavelength and/or narrow band radiation sources may be used.
  • a broad band multiple wavelength source may be used.
  • the photoresist compositions of the present invention may be patternwise imaged using particle beams such as electron beam, ion beam, combinations of these, and the like.
  • the appropriate radiation or particle beam type(s) may depend on the components of the overall photoresist composition (e.g., the selection of the molecular glass composition, photosensitive acid generator (PAG), base (or quencher), surfactant, solvent, etc.).
  • step 120 the film is developed in an aqueous base solution where the base-soluble exposed regions of the film may be removed from the film to form a first patterned layer of the first film.
  • the developer may be organic or aqueous based, such as an aqueous base developer such as tetramethylammonium hydroxide aqueous solution, for example.
  • FIG. 2C is an illustration of the film of FIG. 2B after removal of base-soluble exposed regions 230 of FIG. 2B, where a first patterned layer 235 remains on the substrate 200.
  • the first patterned layer is baked above the first temperature, T.
  • the first temperature, T may be about 140° C, such as between about 140° C and about 260° C.
  • the thermal base generator releases a base within the first patterned layer.
  • said first patterned layer becomes substantially insoluble in the first solvent.
  • a second film is formed of a second photoresist over the first patterned layer formed in step 120.
  • the second photoresist may comprise a second polymer and a second photosensitive acid generator.
  • the first polymer and the second polymer may have the same composition or may be different.
  • the second polymer may comprise a polymer such as those described above, or a polymer known in the art for use in photoresist compositions.
  • FIG. 2D is an illustration of the first patterned layer 235 of FIG. 2C after a second film 240 of a second photoresist is formed over the first patterned layer 235.
  • step 135 comprises patternwise imaging simultaneously the first patterned layer and the second film through a second mask.
  • the simultaneous imaging may expose at least one region of the second film to radiation and result in producing a second acid catalyst in the at least one exposed region of the second film.
  • Acid catalyst produced in the first patterned layer as result of the simultaneous imaging is neutralized by the base released in the baking of step 125, thus the integrity of first patterned layer is protected from degradation as a result of the simultaneous imaging in step 135.
  • FIG. 2E is an illustration of the layers of FIG. 2D upon simultaneous exposure to radiation or energetic particles from a radiation or particle beam source 245 projected through a second patterned mask 250.
  • the first patterned layer 235 and the second film 240 may be exposed simultaneously.
  • the second mask may have a pattern of masked sections 260 which are essentially opaque to the radiation or impenetrable to the energetic particles, and unmasked sections 250 which are essentially transparent to the radiation or penetrable to the energetic particles. Radiation or particles passing through the unmasked sections 250 may be transmitted to both the second film 240 and the first patterned layer 235 to be absorbed in the exposed regions 270 of the second film 240 and exposed regions 275 of the first patterned layer.
  • the radiation or particles may induce the production of an acid catalyst in both the exposed regions 265 of the second film 240 and the exposed regions 275 of the first patterned layer 235.
  • the acid catalyst in the exposed regions 265 of the second film 240 may, for example, render those exposed regions soluble in a developer.
  • the acid catalyst in the second film 240 may crosslink the polymer of the second film 240 rendering exposed regions of the second film 265 insoluble in a developer.
  • Acid catalyst produced in exposed regions 275 of the first patterned layer 235 is neutralized by the base present in the first patterned layer 235 which was produced in the baking in step 125.
  • Unexposed regions 265 of the second film 240 and of the first patterned layer 235 may not produce an acid catalyst.
  • step 140 comprises developing the second film, resulting in removing regions of the second film and forming a second patterned layer of said second film.
  • the developing of the second film in step 140 may comprise developing the second film in an aqueous base, where removing the regions of the second film comprises removing exposed base-soluble regions of said second film.
  • developing the second film of step 140 may comprise developing the second film in a developer where cross-linked exposed regions of the second film remain after developing and unexposed, non-crosslinked regions are removed by the developer.
  • FIG. 2F is an illustration of the films of FIG. 2E after developing, where exposed regions of the second film 140 of FIG. 2E have been removed to leave a second patterned layer 280.
  • the first patterned layer 235 is essentially unchanged by the developing of step 140.
  • the first film may be baked at a temperature between about 80° C and about
  • a terpolymer (MAdMA/HAdMA/NLM) consisting of 37 mole % MAdMA, 16 mole % HAdMA and 47 mole % NLM was dissolved in PGMEA with 30 weight % (wt %, relative to polymer) GBL, 4 wt % TPSN (triphenyl sulfonium nonaflate) and 1.2 wt % of DFC to make a solution with 6.6 wt% of solid content.
  • the resulting solution was filtered through a 0.2 micron ( ⁇ m) filter.
  • the resist was spin coated onto a 12" silicon wafer which had an approximately 42 nm thickness coating of Rohm and Haas AR40A, an bottom antireflective coating (BARC).
  • the resist was post-applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.8NA, 0.78 ⁇ partial coherence illumination).
  • the wafer was then post-exposure baked (PEB) at about 125° C for about 60 seconds.
  • the film was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH (tetramethylammonium hydroxide) developer (Moses Lake's AD-10). Under these process conditions, the 70 nm lines on a 280 nm pitch were resolved.
  • the wafer was then post-developing baked (PDB) at about 200° C for about
  • a JSR AM2073 J resist was then spin coated on the above mentioned wafer.
  • the resist was PAB at about 110 0 C for about 60 seconds and exposed to 193nm wavelength light on an ASML stepper (0.8NA, 0.78 ⁇ partial coherence illuminations).
  • ASML stepper 0.8NA, 0.78 ⁇ partial coherence illuminations.
  • the reticle was shifted so that the same set of 70 nm lines can be printed in-between the 1 st layer resist.
  • the wafer was then PEB at 120 0 C for 60 seconds. It was developed using a single puddle develop process for 30 seconds with 0.263 N TMAH developer (Moses Lake's AD- 10). Under these process conditions, the 70 nm line from 2 nd resist was printed in-between another 70 nm line from 1 st resist to form a 70 nm line and space on a 140 nm pitch.
  • a terpolymer (MAdMA/HAdMA/NLM) consisting of 37 mole % MAdMA, 16 mole % HAdMA and 47 mole % NLM was dissolved in PGMEA with 30 wt % GBL, 4 wt % TPSN, 0.105 wt % of bockbim (tert-butyl 2-phenyl-l,3-benzodiazole-l-carboxylate) and 0.59 wt % of NBC-101 (all wt % relative to polymer) to make a solution with 4.9 wt % of solid content.
  • the resulting solution was filtered through a 0.2 ⁇ m filter.
  • the resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A.
  • the resist was post-applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on a ASML stepper (0.93NA, 0.85 outer and 0.6 inner ⁇ annular illumination).
  • the wafer was then post-exposure baked (PEB) at about 125°C for about 60 seconds.
  • the coated wafer was then developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 80 nm lines on a 160 nm pitch were resolved.
  • the wafer was then post-developing baked (PDB) at about 190° C for about 120 seconds.
  • the same resist formula as mentioned in this example was then spin coated onto the above coated and developed wafer.
  • the resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93NA, 0.85 outer and 0.6 inner ⁇ annular illumination). During the exposure, the reticle was rotated 90° so that the same set of 80 nm lines but oriented 90° with respect to 1 st layer lines can be printed on top of the 1 st layer resist.
  • the wafer was then PEB at about 120° C for about 60 seconds.
  • the coated wafer was then developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the spaces between 1 st layer lines and 2 nd layer lines became 80 nm square contact holes on 160 nm pitch.
  • a terpolymer (ECpMA/HAdMA/NLM) consisting of 35 mole % ECpMA, 15 mole % HAdMA and 50 mole % NLM was dissolved in 70/30 wt% PGMEA/Ethyl Lactate co- solvent with 4 wt % TPSN, 0.21 wt % bockbim and 0.59 wt % of NBC- 101 (all wt % relative to polymer) to make a solution with 4.3 wt% of solid content.
  • the resulting solution was filtered through a 0.2 ⁇ m filter.
  • the resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A.
  • the resist was post- applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and
  • the wafer was then post-exposure baked (PEB) at 125° C for about 60 seconds.
  • the coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-IO). Under these process conditions, the 50 nm lines on a 200 nm pitch were resolved.
  • the wafer was then post-developing baked (PDB) at about 200° C for about 90 seconds.
  • a JSR AM2073 J resist was then spin coated onto the above coated and developed wafer.
  • the resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination). During the exposure, the reticle was shifted so that the same set of 50 nm lines can be printed in-between the 1 st layer resist. The wafer was then PEB at about 120° C for about 60 seconds. The coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD- 10). Under these process conditions, the 50 nm line from 2 nd resist was printed in between another 50 nm line of the 1 st resist to form a 50 nm line and space on a 100 nm pitch.
  • ASML stepper 1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination.
  • a tetrapolymer (MAdMA/MCpMAHAdMA/NLM) consisting of 40 mole% MAdMA, 10 mole % MCpMA, 15 mole % HAdMA and 35 mole % NLM was dissolved in 70/30 wt % PGMEA/Ethyl Lactate co-solvent with 4 wt % TPSN, 0.21 wt % bockbim and 0.59 wt % of
  • NBC-101 (all wt % relative to polymer) to make a solution with 4.3 wt % of solid content.
  • the resulting solution was filtered through a 0.2 ⁇ m filter.
  • the resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A.
  • the resist was post-applying baked (PAB) at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ ).
  • the wafer was then post-exposure baked (PEB) at about 120° C for about 60 seconds.
  • the coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm lines on a 140 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 200° C for about 90 seconds.
  • PDB post-developing baked
  • a JSR AM2073 J resist was then spin coated onto the above coated and developed wafer.
  • the resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination).
  • ASML stepper 1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination.
  • the reticle was shifted so that the same set of 35 nm lines can be printed in between the 1 st layer of resist.
  • the wafer was then PEB at about 120 0 C for about 60 seconds. It was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm line from the 2 nd resist was printed in between another 35 nm line from the 1 st resist to form a 35 nm line and space on a 70 nm pitch.
  • a tetrapolymer (MAdM A/iPrHF AM A/HAdMA/NLM) consisting of 35 mole % MAdMA, 10 mole % iPrHFAMA, 15 mole % HAdMA and 40 mole % NLM was dissolved in PGMEA, with 1.28 wt % GBL, 4 wt % TPSN, 0.21 wt % bockbim and 0.69 wt % of NBC- 101 (all wt % relative to polymer) to make a solution with 4.3 wt % of solid content. The resulting solution was filtered through a 0.2 ⁇ m filter.
  • the resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A.
  • the resist was post-applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination).
  • the wafer was then post-exposure baked (PEB) at about 125° C for about 60 seconds.
  • the coated wafer was then developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 50 nm lines on a 200 nm pitch were resolved.
  • the wafer was then post-developing baked (PDB) at about 200° C for about 90 seconds.
  • a JSR AM2073 J resist was then spin coated onto the above coated and developed wafer.
  • the resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination). During the exposure, the reticle was shifted so that the same set of 50 nm lines can be printed in between the 1 st layer of resist. The wafer was then
  • PEB at about 120° C for about 60 seconds.
  • the coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-IO). Under these process conditions, the 50 nm line from the 2 nd resist was printed in between another 50 nm line from 1 st resist to form a 50 nm line and space on a 100 nm pitch.
  • a polymer blend of 75 wt % terpolymer MAdMA/HAdMA/NLM consisting of 37 mole % MAdMA, 16 mole% HAdMA and 47 mole% NLM and 25 wt% terpolymer ECpMA/HAdMA/NLM consisting of 35 mole % ECpMA, 15 mole % HAdMA and 50 mole % NLM was dissolved in 70/30 wt % PGME A/Ethyl Lactate co-solvent with 4 wt % TPSN, 0.21 wt % bockbim and 0.59 wt % of NBC-101 (all wt % relative to total polymer weight) to make a solution with 4.3 wt % of solid content.
  • the resulting solution was filtered through a 0.2 ⁇ m filter.
  • the resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A.
  • the resist was post-applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination).
  • the wafer was then post-exposure baked (PEB) at about 125° C for about 60 seconds. It was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm lines on a 140 nm pitch were resolved.
  • the wafer was then post-developing baked (PDB) at about 190° C for about 90 seconds.
  • a JSR AM2073 J resist was then spin coated on the above coated and developed wafer.
  • the resist was PAB at about 110 0 C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination).
  • ASML stepper 1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner ⁇ annular illumination.
  • the reticle was shifted so that the same set of 35 nm lines can be printed in between the 1 st layer of resist.
  • the wafer was then PEB at about 120° C for about 60 seconds. It was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm line from the 2 nd resist was printed in between another 35 nm line from the 1 st resist to form a 35 nm line and space on a 70 nm pitch.
  • Example 7 A polymer blend of 90% of terpolymer MAdMA/HAdMA/NLM consisting of 37 mole % MAdMA, 16 mole % HAdMA and 47 mole % NLM, and 10 % terpolymer EtAdMA/HAdMA/NLM consisting of 35 mole % EtAdMA, 10 mole % HAdMA and 55 mole % NLM was dissolved in 70/30 wt % PGMEA/Cyclohexanone co-solvent with 4 wt % TPSN, 0.21 wt % bockbim and 0.59 wt % of NBC-101 (all wt.
  • the resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A.
  • the resist was post-applying baked (PAB) at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.85 outer and 0.6 inner ⁇ annular illumination).
  • the wafer was then post-exposure baked (PEB) at about 120° C for about 60 seconds.
  • the coated wafer was then developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm lines on a 140 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 200° C for about 90 seconds.
  • PDB post-developing baked
  • a JSR AM2073 J resist was then spin coated on the above coated developed wafer.
  • the resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quarsar illumination with 0.85 outer and 0.6 inner ⁇ annular illumination). During the exposure, the reticle was shifted so that the same set of 35 nm lines can be printed in between the 1 st layer of resist.
  • the wafer was then PEB at about 120° C for about 60 seconds.
  • the coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm line from the 2 nd resist was printed in between another 35nm line from the 1 st resist to form a 35 nm line and space on a 70 nm pitch.
  • a tetrapolymer (MAdMA/MCpMAHAdMA/NLM) consisting of 40 mole% MAdMA, 10 mole % MCpMA, 15 mole % HAdMA and 35 mole % NLM dissolved in PGMEA solvent was used to formulate (1) with 7 wt % BPIN, 0.6 wt % bockbim and 0.7 wt % of NBC-101
  • the resists were post-applying baked (PAB) at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 90° Dipole illumination with 0.72 outer and 0.587 inner ⁇ ).
  • the wafers were then post-exposure baked (PEB) at about 130° C for about 60 seconds.
  • the coated wafers were developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses
  • a JSR AM2073J resist was then spin coated onto the above coated and developed wafers.
  • the resists were PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 90° dipole illumination with 0.72 outer and 0.587 inner ⁇ annular illumination). During the exposure, the reticle was shifted so that the same set of 32.5 nm lines can be printed in between the first layer of resist.
  • the wafers were then PEB at about 120 0 C for about 60 seconds. There were developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD- 10).
  • the 32.5 nm line from the 2nd resist was printed in between another 32.5 nm line from the first resist to form a 32.5 nm lines and spaces on a 65 nm pitch for resist B and C.
  • Resist A the second layer lines standing while the first layer lines disappeared.
  • the thermal decomposition onset temperatures are 203 0 C and less thanl65°C for NBC-101 and DFC respectively.
  • PDB temperature of 200 0 C only DFC will release the thermal base resulting in de-sensitization effect for resist B and C but not for resist A.
  • the dose to size for resist B and resist C are the same irrespective of the loading of DFC.

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

A method and a resist composition. The resist composition includes a polymer having repeating units having a lactone moiety, a thermal base generator capable of generating a base and a photosensitive acid generator. The polymer has the properties of being substantially soluble in a first solvent and becoming substantially insoluble after heating the polymer. The method includes forming a film of a photoresist including a polymer, a thermal base generator capable of releasing a base, a photosensitive acid generator, and a solvent. The film is patternwise imaged. The imaging includes exposing the film to radiation, resulting in producing an acid catalyst. The film is developed in an aqueous base, resulting in removing base-soluble regions and forming a patterned layer. The patterned layer is baked above the temperature, resulting in the thermal base generator releasing a base within the patterned layer and the patterned layer becoming insoluble in the solvent.

Description

PHOTORESIST COMPOSITIONS AND METHOD FOR MULTIPLE EXPOSURES WITH MULTIPLE LAYER RESIST SYSTEMS
FIELD OF THE INVENTION
The invention relates to photoresist compositions and methods for photolithography using the same.
BACKGROUND OF THE INVENTION
Optical photolithography has been the major technique for the semiconductor industry. Many resolution enhancement technology (RET) methods have also contributed to the extension of optical photolithography to print very low ki images. The value of ki can be found using the optical projection lithography resolution equation W = Ic1XZNA, where W is the minimum printable feature size, λ is the exposure wavelength (e.g. 193 nm, 157 nm), NA is the numerical aperture of the lithography system and ki is a lithographic constant of the system. Double exposure (DE) has emerged as a method to reduce ki in the fabrication of integrated circuit chips. Several double exposure schemes have been developed including double dipole lithography (DDL) and double exposure double etch (DE2). However, DDL can only print images within diffraction limit, while DE2 is a complex and expensive process. Accordingly, there exists a need to overcome the deficiencies and limitations described hereinabove.
SUMMARY OF THE INVENTION The present invention relates to a resist composition, comprising: a polymer comprising first repeating units containing a lactone moiety, said polymer having the properties of being substantially soluble in a first solvent and becoming substantially insoluble in said first solvent after heating said polymer to a first temperature of about 140° C or greater; a thermal base generator capable of releasing a base upon heating said thermal base generator above a second temperature; and a photosensitive acid generator capable of releasing acid upon exposure to radiation The present invention relates to a method comprising: forming a first film of a first photoresist on a substrate, said first photoresist comprising a first polymer, a thermal base generator capable of releasing a base above a first temperature, a first photosensitive acid generator capable of generating an acid upon exposure to radiation, and a first solvent, said first photoresist having the properties of being substantially soluble in said solvent and becoming substantially insoluble in said solvent after heating said first photoresist to above a second temperature; patternwise imaging said first film through a first mask, said imaging comprising exposing at least one region of said first film to radiation, resulting in producing a first acid catalyst in said at least one exposed region of said first film; after said imaging, developing said first film in an aqueous base, resulting in removing base-soluble exposed regions of said first film and forming a first patterned layer of said first film; and baking said first patterned layer above said first temperature and above said second temperature, wherein resulting from said baking said first thermal base generator releases a base within said first patterned layer, wherein resulting from said baking said first patterned layer becomes substantially insoluble in said first solvent.
BRIEF DESCRIPTION OF THE DRAWINGS
The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of illustrative embodiments when read in conjunction with the accompanying drawings.
FIG. 1 is a flow chart illustrating a method for forming patterned layers, in accordance with embodiments of the present invention.
FIG. 2 A is an illustration of a film disposed on a substrate, in accordance with embodiments of the present invention.
FIG. 2B is an illustration of patternwise imaging the film of FIG. 2A, in accordance with embodiments of the present invention. FIG. 2C is an illustration of the film of FIG. 2B after removal of base-soluble exposed regions of FIG. 2B, in accordance with embodiments of the present invention.
FIG. 2D is an illustration of the first patterned layer of FIG. 2C after a second film of a second photoresist is formed over the first patterned layer, in accordance with embodiments of the present invention.
FIG. 2E is an illustration of the layers of FIG. 2D upon simultaneous exposure to radiation or energetic particles, in accordance with embodiments of the present invention. FIG. 2F is an illustration of the films of FIG. 2E after developing, in accordance with embodiments of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
Although certain embodiments of the present invention will be shown and described in detail, it should be understood that various changes and modifications may be made without departing from the scope of the appended claims. The scope of the present invention will in no way be limited to the number of constituting components, the materials thereof, the shapes thereof, the relative arrangement thereof, etc., and are disclosed simply as examples of embodiments. The features and advantages of the present invention are illustrated in detail in the accompanying drawings, wherein like reference numerals refer to like elements throughout the drawings. Although the drawings are intended to illustrate the present invention, the drawings are not necessarily drawn to scale.
FIG. 1 is a flow chart illustrating a method for forming patterned layers, such as in photolithography, in an embodiment of the present invention. In step 110, a first film of a first photoresist is formed on a substrate. The photoresist may comprise a first polymer, a thermal base generator capable of releasing a base above a first temperature, and a first photosensitive acid generator. The first polymer may have the property of being substantially soluble in a solvent and becoming substantially insoluble in the solvent after heating the polymer, such as to a temperature of about 140° C or greater, such as from about 140° C to about 260° C for example. The photoresist composition may have the property of being substantially soluble in a solvent and becoming substantially insoluble in the solvent after heating the polymer, such as to a temperature of about 140° C or greater, such as from about 140° C to about 260° C for example.
The polymer of the photoresist may comprise repeating units of one or more structures, for example the polymer may comprise a copolymer, a terpolymer, a tetrapolymer, etc. The polymer may have repeating units containing a lactone moiety in combination with repeating units containing at least one acid labile moiety, where such a configuration may impart good lithographic performance to the photoresist. Lactone moieties may improve dissolution in aqueous developer. Examples of repeating units containing lactones moieties include 5-methacryloyloxy-2, 6-norbornanecarbo-γ-lactone, 3-methacryloyloxymethyl-2, 6- norbornanecarbo lactone, 3-acryloyloxymethyl-2, 6-norbornanecarbo lactone, α- acryloyloxy-γ-butyrolactone, α-methacryloyloxy-γ-butyrolactone, β-acryloyloxy-γ- butyrolactone and β-methacryloyloxy-γ-butyrolactone. Examples of tertiary ester moieties include those that can be deprotected by acid generated by the photoacid generator. Examples of tertiary ester moieties include ester derivatives of structural groups such as: methyladamantane, ethyladamantane, methylcyclopentane, ethylcyclopentane, methylcyohexane, ethylcycohexane, methylcycloheptane, ethylcyclohepatane, methylcyclooctane, ethylcyclooctane, and t-butyl.
For example, the polymer may comprise first repeating units of having lactone moieties such as
Figure imgf000006_0001
Figure imgf000007_0001
where the polymer may further comprise second repeating units having at least one tertiary ester moiety such as
(MAdMA),
Figure imgf000007_0003
(EtAdMA), (MCpMA),
Figure imgf000007_0002
CpMA), and
Figure imgf000007_0004
(ECoMA). For example, the polymer may be represented by:
Figure imgf000008_0001
(MAdMA/NLM).
The polymer may further comprise third repeating units, where the third repeating units may enhance the change of the solubility of the photoresist in the casting solvent from substantially soluble to substantially insoluble, such as during a high temperature bake.
The term substantially insoluble, as used herein, is intended to comprise having such a small degree of solubility so as to not affect the quality of an image formed from a photoresist by loss of material (e.g. polymer, photoresist, etc.) through dissolution into aqueous base solution or photoresist solvents from regions of the photoresist layer not containing photosensitive acid generator derived acid. The term substantially soluble, as used herein, is intended to comprise having a high enough degree of solubility in aqueous base solutions or solvents so to allow all or almost all (i.e., any remaining material is present such a small amounts so as to not interfere with subsequent processing steps) of the material (e.g. polymer, photoresist, etc.) in regions containing acid derived from the photosensitive acid generator to dissolve into aqueous base solutions or photoresist solvents. In the context of photoresist formulation and semiconductor processing the term substantially insoluble is intended to include polymers completely or almost completely insoluble in photoresist solvents. In the context of photoresist formulation and semiconductor processing the term substantially soluble is intended to include polymers completely or almost completely soluble in photoresist solvents. In general, the polymer dissolution rates affect the dissolution rates of the photoresist layers most strongly, thus a substantially insoluble polymer may render substantially insoluble a photoresist comprising that polymer. Substantially insoluble photoresists have a dissolution rate of less than about 0.2 nanometers/second (nm/s) in solvent or aqueous base, while substantially soluble photoresists have a dissolution rate of greater than about 5 nm/s in solvent or aqueous base. Photoacid generators, quencher and other additives may also alter the dissolution rates of the final photoresist layer.
The third repeating units may comprise hydroxyl groups, such as alkyl alcohols, carboxylic acids, or a combination of these, such as:
Figure imgf000009_0001
(HAdMA),
(HEAdMA), and
Figure imgf000009_0002
such as in the following examples:
Figure imgf000010_0001
The polymer structure may further comprise fourth repeating units. The fourth repeating units may be configured to stabilize the photoresist image during developing process, wherein the fourth repeating units may have some solubility toward aqueous developer and may contain a moiety selected from the group consisting of sulfonamides, fluorinated sulfonamides, fluoroalcohols, dicarboxyimides, N-hydroxy dicarboxyimides, phenol, naphthol, amino and imino groups. An example of a fourth repeating unit includes:
Figure imgf000011_0001
(iPrHFAMA).
An example of a resist polymer comprising a fourth repeating unit includes:
Figure imgf000011_0002
(MAdMA/iPrHFMA/HAdMA/NLM).
The fourth repeating unit may comprise structures described above for the second repeating units, such as MAdMA, EtAdMA, MCpMA, ECpMA, and ECoMA, such that the polymer structure comprises two different repeating units containing at least two different tertiary ester moieties. The difference in deprotection rates of the two ester groups may improve the stability of an image produced from the resist composition. Examples of polymers having a fourth repeating unit and comprising at least two different tertiary ester moieties include:
Figure imgf000011_0003
(MAdMA/MCpMA/HAdMA/NLM),
Figure imgf000012_0001
(MAdMA/ECpMA/HAdMA/NLM).
The polymer of the photoresist may comprise a polymer blend of two or more polymers, such as blends of two or more of the polymers described above.
The photoresist may further comprise a thermal base generator, where the thermal base generator may be capable of generating a base above a first temperature, T. T is a temperature of about 140° C or greater, such as between about 140° C and about 260° C. The thermal base generator may comprise a compound belonging to a group such as amides, sulfonamides, imides, imines, O-acyl oximes, benzoyloxycarbonyl derivatives, quarternary ammonium salts, and nifedipines, examples of which may include o-{(β- (dimethylamino)ethyl)aminocarbonyl}benzoic acid, o-{(γ- (dimethylamino)propyl)aminocarbonyl}benzoic acid, 2,5-bis{( β- (dimethylamino)ethyl)aminocarbonyl}terephthalic acid, 2,5-bis{( γ- (dimethylamino)propyl)aminocarbonyl}terephthalic acid, 2,4-bis{( β- (dimethylamino)ethyl)aminocarbonyl}isophthalic acid, and 2,4-bis{( γ- (dimethylamino)propyl)aminocarbonyl} isophthalic acid.
In one embodiment, the thermal base generator may comprise at least one carbamate moiety. The preferred thermal base generators include fluorenylmethyl carbamates, phenylsulfonylethyl carbamates, and 3-nitropentane-2-yl carbamates, such as.
Figure imgf000013_0001
wherein each R1 or R2 is independently selected from the group consisting of a hydrogen atom, a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, and wherein R4 may be hydrogen or alkyl. For halogenated moieties, the halogen is preferably selected from fluorine, chlorine, and bromine. For example, each R1 or R2 may be independently selected from the group consisting of a fluorinated linear alkyl, a fluorinated branched alkyl, a fluorinated cycloalkyl, an aryl, a fluorinated aryl, and combinations thereof.
The thermal base generators described above may also be linked to form dimer structures, where such linking may prevent or reduce volatility of the base produced. Some examples of dimer forms of thermal base generators include:
Figure imgf000014_0001
Figure imgf000014_0002
wherein each R1 or R2 is independently selected from the group consisting of a hydrogen atom, a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, wherein R3 is selected from the group consisting of a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, and wherein R4 may be hydrogen or alkyl. For halogenated moieties, the halogen is preferably selected from fluorine, chlorine, and bromine. For example, each R1 or R2 may be independently selected from the group consisting of a fluorinated linear alkyl, a fluorinated branched alkyl, a fluorinated cycloalkyl, an aryl, a fluorinated aryl, and combinations thereof, wherein R is selected from the group consisting of a fluorinated linear alkyl, a fluorinated branched alkyl, a fluorinated cycloalkyl, an aryl, a fluorinated aryl, and combinations thereof.
Some specific examples of thermal base generators comprising a carbamate moiety include:
Figure imgf000015_0001
Figure imgf000015_0002
and
Figure imgf000015_0003
The most preferable thermal base generators are fluorenylmethyl carbamates, especially those fluorenylmethyl carbamates having thermal decomposition temperatures (Td) below 220° C and preferably below 200° C. Fluorenylmethyl carbamates usually have lower Tj than other thermal base generators resulting to the generation of more bases than other thermal base generators during a post development bake at a temperature in the range between about 140° C and about 260° C. The preferred post development bake temperature is between about 150° C and about 220° C. The lower Tj of fluorenylmethyl carbamates in comparison with other carbamates can be seen with a relatively lower Td of 175° C for 1,6- bis[9-fluorenylmethoxy]carboylamino]hexane as shown below
Figure imgf000016_0001
compared to a Td of 227° C for l,6-bis[2-nitrobenzyloxy]carboylamino]hexane as shown below:
Figure imgf000016_0002
and a Td of 2060 C for N,N'-bis[[l-(3,5-dimethoxyphenyl)-l-methylethoxy]carbonyl]hexane- 1,6-diamine as shown below
Figure imgf000016_0003
In another example, N-9H-fluoren-9-ylmethoxycarbonyl-4-piperidine as shown below has a first Td of 105° C and a second Td of 160° C,
Figure imgf000016_0004
while N-[[l-(3,5-dimethoxyphenyl)-l-methylethoxy]carbonyl]piperidine as shown below
Figure imgf000017_0001
has a Td of 193° C.
The above described preferred thermal base generators are usually not sensitive to the commonly used optical radiation sources such as 193nm and 248nm light sources. Therefore, the loading of these base generators in a resist formulation generally would not have significant effect on the lithographic dose, as long as the baking temperature of the resist is not higher than the thermal decomposition temperature of the thermal base generators.
In another embodiment, the photoresist may comprise epoxide moieties or urethane moieties for base catalyzed crosslinking after subjecting the photoresist to a temperature above first temperature, T, baking such as to render the photoresist film insoluble to its own casting solvent. The photoresist may also comprise amic ester moieties for base catalyzed imidization, or pendant carboxyl moieties for base catalyzed decarboxylation, or carbonyl moieties (aldehyde moieties and ketone moieties) and active methylene moieties for base catalyzed cross-linking through Knoevenagel reaction.
The photoresist may further comprise a photosensitive acid generator (PAG), capable of releasing or generating acid upon exposure to radiation. The PAG may comprise, for example (trifluoro-methylsulfonyloxy)-bicyclo[2.2.1 ]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxy-naphthalimide (DDSN), onium salts, aromatic diazonium salts, sulfonium salts, diaryliodonium salts, sulfonic acid esters of N-hydroxyamides, imides, or combinations thereof.
The photoresist may further comprise a surfactant. Surfactants may be used to improve coating uniformity, and may include ionic, non-ionic, monomeric, oligomeric, and polymeric species, or combinations thereof. Examples of possible surfactants include fluorine- containing surfactants such as the FLUORAD series available from 3M Company in St. Paul, Minn., and siloxane-containing surfactants such as the SILWET series available from Union Carbide Corporation in Danbury, CT, USA.
The photoresist may include a casting solvent to dissolve the other components, so that the photoresist may be applied evenly on the substrate surface to provide a defect-free coating. Where the photoresist is used in a multilayer imaging process, the solvent used in the imaging layer may not be a solvent to the underlayer materials, otherwise unwanted intermixing may occur. Examples of suitable casting solvents may include ethers, glycol ethers, aromatic hydrocarbons, ketones, esters, ethyl lactate, γ-butyrolactone, cyclohexanone, ethoxyethylpropionate (EEP), a combination of EEP and gamma-butyrolactone (GBL), and propylene glycol methyl ether acetate (PGMEA). The present invention is not limited to the selection of any particular solvent.
The photoresist may include a base quencher, sensitizers or other expedients known in the art. The compositions of the photoresists described herein are not limited to any specific selection of these expedients, where base quenchers may comprise aliphatic amines, aromatic amines, carboxylates, hydroxides, or combinations thereof. For example base quenchers may include: dimethylamino pyridine, 7-diethylamino-4-methyl coumarin (Coumarin 1), tertiary amines, sterically hindered diamine and guanidine bases such as 1,8- bis(dimethylamino)naphthalene (PROTON SPONGE), berberine, or polymeric amines such as in the PLURONIC or TETRONIC series commercially available from BASF. Tetra alkyl ammonium hydroxides or cetyltrimethyl ammonium hydroxide may be used as a base quencher when the PAG is an onium salt.
The photoresist composition is not limited to any specific proportions of the various components. The photoresist composition may comprise about 1% by weight (wt %) to about 30 wt % of polymer based on the total weight of the composition, such as from about 2 wt % to about 15 wt %. The photoresist composition may comprise from about 0.1 wt % to about 30 wt % thermal base generator based on the weight of the polymer in the composition, such as from about 0.1 wt % to about 20 wt %. The photoresist composition may comprise from about 0.5 wt % to about 20 wt % photoacid generator based on the weight of the polymer in the composition, such as from about 0.5 wt % to about 10 wt %. The photoresist composition may comprise from about 70 wt % to about 99 wt. % solvent based on the total weight of the composition, such as from about 85 wt % to about 98 wt %. The photoresist composition may further include about 0.1 wt % to about 1.0 wt % of base quencher based on the total weight of the polymer in the composition. The photoresist composition may further include about 0.001 wt % to about 0.1 wt % of surfactant based on the total weight of polymer in the composition.
The photoresist may further comprise a surfactant and/or a base quencher as described above. The film may be formed by processes such as spin coating, spray coating, dip coating, doctor-blading, roll coating, and the like, which may be used individually or in combinations thereof in accordance with the methods of the present invention. The substrate may comprise materials of one or more of the IUPAC Groups 4, 6, 11, 12, 13, 14, and 15 elements, plastic material, silicon dioxide, glass, fused silica, mica, ceramic, metals deposited on the aforementioned substrates, combinations thereof, and the like. The substrate may comprise a stack or layering of different materials. For a substrate used in a trilayer approach, there may be a comparatively thick organic underlayer and a thin Si containing interlayer, where the Si containing layer may either be a chemical vapor deposited silicon oxide layer or a spin coated silsesquioxane polymer film. For example, a substrate may comprise a prepared silicon wafer substrate such as those employed in semiconductor manufacturing. The films and layers described herein may be disposed on top of the substrate or may be integrally joined with the substrate.
In step 115, the film is imaged patternwise through a first mask using a radiation or particle beam source, wherein at least one region of the first film is exposed to radiation or the particle beam, resulting in the photosensitive acid generator producing an acid catalyst in the at least one exposed region of the film, rendering the exposed regions of the resist substantially soluble in a developer. For a chemically amplified resist system, baking may deprotect acid labile groups in the polymer, regenerating base soluble groups through an acid catalyzed amplification process, thus the bake may render the exposed regions of the resist substantially soluble in a developer.
FIG. 2A is an illustration of a film 205 disposed on a substrate 200, such as the films and substrates described above. FIG. 2B is an illustration of patternwise imaging the film of FIG. 2A as described for step 115 of FIG. 1, wherein a radiation or particle beam source 210 projects radiation or energetic particles through a patterned mask 215 onto the film 205 disposed on a substrate 200. The mask may have a pattern of masked sections 225 which are essentially opaque to the radiation or impenetrable to the energetic particles, and unmasked sections 220 which are essentially transparent to the radiation or penetrable to the energetic particles. Radiation or particles passing through the unmasked sections 220 may be transmitted to the film 205 to be absorbed in the exposed regions 230 of the film 205, wherein the radiation or particles may induce the production of an acid catalyst in the exposed regions 230 of the film 205. Unexposed regions 232 of film 205 may not produce an acid catalyst.
Exposure to the radiation or energetic particles may render the exposed regions 230 soluble in a developer. The photoresists of the present invention may be patternwise imaged using radiation such as ultraviolet (UV) such as wavelengths of approximately 436 nanometers
(nm) and 365 nm, deep-ultraviolet (DUV) such as wavelengths of approximately 257 nm, 248 nm, 193 nm, and 157 nm, extreme-ultraviolet (EUV) such as a wavelength of approximately 4 nm to approximately 70 nm such as approximately 13 nm, x-ray, combinations of these, and the like. Various wavelengths of radiation may be used such as 313 nm, 334 nm, 405 nm, and 126 nm etc., where the sources may be mainly from specific mercury emission lines or specific lasers. For high performance lithography, single wavelength and/or narrow band radiation sources may be used. For less stringent conditions, a broad band multiple wavelength source may be used. The photoresist compositions of the present invention may be patternwise imaged using particle beams such as electron beam, ion beam, combinations of these, and the like. The appropriate radiation or particle beam type(s) may depend on the components of the overall photoresist composition (e.g., the selection of the molecular glass composition, photosensitive acid generator (PAG), base (or quencher), surfactant, solvent, etc.).
Referring again to FIG. 1, in step 120 the film is developed in an aqueous base solution where the base-soluble exposed regions of the film may be removed from the film to form a first patterned layer of the first film. The developer may be organic or aqueous based, such as an aqueous base developer such as tetramethylammonium hydroxide aqueous solution, for example. FIG. 2C is an illustration of the film of FIG. 2B after removal of base-soluble exposed regions 230 of FIG. 2B, where a first patterned layer 235 remains on the substrate 200.
Referring again to FIG. 1, in step 125 the first patterned layer is baked above the first temperature, T. The first temperature, T, may be about 140° C, such as between about 140° C and about 260° C. Resulting from the baking, the thermal base generator releases a base within the first patterned layer. Resulting from the baking, said first patterned layer becomes substantially insoluble in the first solvent.
In step 130, after the baking of step 125, a second film is formed of a second photoresist over the first patterned layer formed in step 120. The second photoresist may comprise a second polymer and a second photosensitive acid generator. The first polymer and the second polymer may have the same composition or may be different. For example, the second polymer may comprise a polymer such as those described above, or a polymer known in the art for use in photoresist compositions. FIG. 2D is an illustration of the first patterned layer 235 of FIG. 2C after a second film 240 of a second photoresist is formed over the first patterned layer 235.
Referring again to FIG. 1, step 135 comprises patternwise imaging simultaneously the first patterned layer and the second film through a second mask. The simultaneous imaging may expose at least one region of the second film to radiation and result in producing a second acid catalyst in the at least one exposed region of the second film. Acid catalyst produced in the first patterned layer as result of the simultaneous imaging is neutralized by the base released in the baking of step 125, thus the integrity of first patterned layer is protected from degradation as a result of the simultaneous imaging in step 135.
FIG. 2E is an illustration of the layers of FIG. 2D upon simultaneous exposure to radiation or energetic particles from a radiation or particle beam source 245 projected through a second patterned mask 250. The first patterned layer 235 and the second film 240 may be exposed simultaneously. The second mask may have a pattern of masked sections 260 which are essentially opaque to the radiation or impenetrable to the energetic particles, and unmasked sections 250 which are essentially transparent to the radiation or penetrable to the energetic particles. Radiation or particles passing through the unmasked sections 250 may be transmitted to both the second film 240 and the first patterned layer 235 to be absorbed in the exposed regions 270 of the second film 240 and exposed regions 275 of the first patterned layer. The radiation or particles may induce the production of an acid catalyst in both the exposed regions 265 of the second film 240 and the exposed regions 275 of the first patterned layer 235. The acid catalyst in the exposed regions 265 of the second film 240 may, for example, render those exposed regions soluble in a developer. In another example, the acid catalyst in the second film 240 may crosslink the polymer of the second film 240 rendering exposed regions of the second film 265 insoluble in a developer. Acid catalyst produced in exposed regions 275 of the first patterned layer 235 is neutralized by the base present in the first patterned layer 235 which was produced in the baking in step 125. Unexposed regions 265 of the second film 240 and of the first patterned layer 235 may not produce an acid catalyst.
Referring again to FIG. 1, step 140 comprises developing the second film, resulting in removing regions of the second film and forming a second patterned layer of said second film. For example, the developing of the second film in step 140 may comprise developing the second film in an aqueous base, where removing the regions of the second film comprises removing exposed base-soluble regions of said second film. In another example, developing the second film of step 140 may comprise developing the second film in a developer where cross-linked exposed regions of the second film remain after developing and unexposed, non-crosslinked regions are removed by the developer. FIG. 2F is an illustration of the films of FIG. 2E after developing, where exposed regions of the second film 140 of FIG. 2E have been removed to leave a second patterned layer 280. The first patterned layer 235 is essentially unchanged by the developing of step 140.
In one embodiment, after the patternwise imaging of the first film and before the developing of the first film, the first film may be baked at a temperature between about 80° C and about
1500 C. Example 1
A terpolymer (MAdMA/HAdMA/NLM) consisting of 37 mole % MAdMA, 16 mole % HAdMA and 47 mole % NLM was dissolved in PGMEA with 30 weight % (wt %, relative to polymer) GBL, 4 wt % TPSN (triphenyl sulfonium nonaflate) and 1.2 wt % of DFC to make a solution with 6.6 wt% of solid content. The resulting solution was filtered through a 0.2 micron (μm) filter. The resist was spin coated onto a 12" silicon wafer which had an approximately 42 nm thickness coating of Rohm and Haas AR40A, an bottom antireflective coating (BARC). The resist was post-applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.8NA, 0.78 σ partial coherence illumination). The wafer was then post-exposure baked (PEB) at about 125° C for about 60 seconds. The film was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH (tetramethylammonium hydroxide) developer (Moses Lake's AD-10). Under these process conditions, the 70 nm lines on a 280 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 200° C for about
90 seconds.
A JSR AM2073 J resist was then spin coated on the above mentioned wafer. The resist was PAB at about 1100C for about 60 seconds and exposed to 193nm wavelength light on an ASML stepper (0.8NA, 0.78 σ partial coherence illuminations). During the exposure, the reticle was shifted so that the same set of 70 nm lines can be printed in-between the 1st layer resist. The wafer was then PEB at 1200C for 60 seconds. It was developed using a single puddle develop process for 30 seconds with 0.263 N TMAH developer (Moses Lake's AD- 10). Under these process conditions, the 70 nm line from 2nd resist was printed in-between another 70 nm line from 1st resist to form a 70 nm line and space on a 140 nm pitch.
Example 2
A terpolymer (MAdMA/HAdMA/NLM) consisting of 37 mole % MAdMA, 16 mole % HAdMA and 47 mole % NLM was dissolved in PGMEA with 30 wt % GBL, 4 wt % TPSN, 0.105 wt % of bockbim (tert-butyl 2-phenyl-l,3-benzodiazole-l-carboxylate) and 0.59 wt % of NBC-101 (all wt % relative to polymer) to make a solution with 4.9 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A. The resist was post-applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on a ASML stepper (0.93NA, 0.85 outer and 0.6 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at about 125°C for about 60 seconds. The coated wafer was then developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 80 nm lines on a 160 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 190° C for about 120 seconds.
The same resist formula as mentioned in this example was then spin coated onto the above coated and developed wafer. The resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93NA, 0.85 outer and 0.6 inner σ annular illumination). During the exposure, the reticle was rotated 90° so that the same set of 80 nm lines but oriented 90° with respect to 1st layer lines can be printed on top of the 1st layer resist. The wafer was then PEB at about 120° C for about 60 seconds. The coated wafer was then developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the spaces between 1st layer lines and 2nd layer lines became 80 nm square contact holes on 160 nm pitch.
Example 3
A terpolymer (ECpMA/HAdMA/NLM) consisting of 35 mole % ECpMA, 15 mole % HAdMA and 50 mole % NLM was dissolved in 70/30 wt% PGMEA/Ethyl Lactate co- solvent with 4 wt % TPSN, 0.21 wt % bockbim and 0.59 wt % of NBC- 101 (all wt % relative to polymer) to make a solution with 4.3 wt% of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A. The resist was post- applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and
0.6 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at 125° C for about 60 seconds. The coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-IO). Under these process conditions, the 50 nm lines on a 200 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 200° C for about 90 seconds.
A JSR AM2073 J resist was then spin coated onto the above coated and developed wafer.
The resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner σ annular illumination). During the exposure, the reticle was shifted so that the same set of 50 nm lines can be printed in-between the 1st layer resist. The wafer was then PEB at about 120° C for about 60 seconds. The coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD- 10). Under these process conditions, the 50 nm line from 2nd resist was printed in between another 50 nm line of the 1st resist to form a 50 nm line and space on a 100 nm pitch.
Example 4
A tetrapolymer (MAdMA/MCpMAHAdMA/NLM) consisting of 40 mole% MAdMA, 10 mole % MCpMA, 15 mole % HAdMA and 35 mole % NLM was dissolved in 70/30 wt % PGMEA/Ethyl Lactate co-solvent with 4 wt % TPSN, 0.21 wt % bockbim and 0.59 wt % of
NBC-101 (all wt % relative to polymer) to make a solution with 4.3 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A. The resist was post-applying baked (PAB) at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner σ). The wafer was then post-exposure baked (PEB) at about 120° C for about 60 seconds. The coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm lines on a 140 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 200° C for about 90 seconds.
A JSR AM2073 J resist was then spin coated onto the above coated and developed wafer. The resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner σ annular illumination). During the exposure, the reticle was shifted so that the same set of 35 nm lines can be printed in between the 1st layer of resist. The wafer was then PEB at about 1200C for about 60 seconds. It was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm line from the 2nd resist was printed in between another 35 nm line from the 1st resist to form a 35 nm line and space on a 70 nm pitch.
Example 5
A tetrapolymer (MAdM A/iPrHF AM A/HAdMA/NLM) consisting of 35 mole % MAdMA, 10 mole % iPrHFAMA, 15 mole % HAdMA and 40 mole % NLM was dissolved in PGMEA, with 1.28 wt % GBL, 4 wt % TPSN, 0.21 wt % bockbim and 0.69 wt % of NBC- 101 (all wt % relative to polymer) to make a solution with 4.3 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A. The resist was post-applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at about 125° C for about 60 seconds. The coated wafer was then developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 50 nm lines on a 200 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 200° C for about 90 seconds.
A JSR AM2073 J resist was then spin coated onto the above coated and developed wafer. The resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner σ annular illumination). During the exposure, the reticle was shifted so that the same set of 50 nm lines can be printed in between the 1st layer of resist. The wafer was then
PEB at about 120° C for about 60 seconds. The coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-IO). Under these process conditions, the 50 nm line from the 2nd resist was printed in between another 50 nm line from 1st resist to form a 50 nm line and space on a 100 nm pitch.
Example 6
A polymer blend of 75 wt % terpolymer MAdMA/HAdMA/NLM consisting of 37 mole % MAdMA, 16 mole% HAdMA and 47 mole% NLM and 25 wt% terpolymer ECpMA/HAdMA/NLM consisting of 35 mole % ECpMA, 15 mole % HAdMA and 50 mole % NLM was dissolved in 70/30 wt % PGME A/Ethyl Lactate co-solvent with 4 wt % TPSN, 0.21 wt % bockbim and 0.59 wt % of NBC-101 (all wt % relative to total polymer weight) to make a solution with 4.3 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A. The resist was post-applying baked (PAB) at about 130° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at about 125° C for about 60 seconds. It was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm lines on a 140 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 190° C for about 90 seconds.
A JSR AM2073 J resist was then spin coated on the above coated and developed wafer. The resist was PAB at about 1100C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.8 outer and 0.6 inner σ annular illumination). During the exposure, the reticle was shifted so that the same set of 35 nm lines can be printed in between the 1st layer of resist. The wafer was then PEB at about 120° C for about 60 seconds. It was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm line from the 2nd resist was printed in between another 35 nm line from the 1st resist to form a 35 nm line and space on a 70 nm pitch.
Example 7 A polymer blend of 90% of terpolymer MAdMA/HAdMA/NLM consisting of 37 mole % MAdMA, 16 mole % HAdMA and 47 mole % NLM, and 10 % terpolymer EtAdMA/HAdMA/NLM consisting of 35 mole % EtAdMA, 10 mole % HAdMA and 55 mole % NLM was dissolved in 70/30 wt % PGMEA/Cyclohexanone co-solvent with 4 wt % TPSN, 0.21 wt % bockbim and 0.59 wt % of NBC-101 (all wt. % relative to total polymer weight) to make a solution with 4.3 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated onto a 12" silicon wafer which had a 42 nm thickness coating of Rohm and Haas AR40A. The resist was post-applying baked (PAB) at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quasar illumination with 0.85 outer and 0.6 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at about 120° C for about 60 seconds. The coated wafer was then developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm lines on a 140 nm pitch were resolved. The wafer was then post-developing baked (PDB) at about 200° C for about 90 seconds.
A JSR AM2073 J resist was then spin coated on the above coated developed wafer. The resist was PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 30° Quarsar illumination with 0.85 outer and 0.6 inner σ annular illumination). During the exposure, the reticle was shifted so that the same set of 35 nm lines can be printed in between the 1st layer of resist. The wafer was then PEB at about 120° C for about 60 seconds. The coated wafer was developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD-10). Under these process conditions, the 35 nm line from the 2nd resist was printed in between another 35nm line from the 1st resist to form a 35 nm line and space on a 70 nm pitch.
Example 8 -
Synthesis of N-9H-fluoren-9-ylmethoxycarbonyl-4-piperidine (FCP)
To 9-fluorenylmethyl chloroformate (2.58 g, Io mmol) in 50 mL of methylene chloride was added piperidine (1.7 g, 20 mmol) dropwise at 00C. The resulting mixture was stirred at 00C for 1 hour before it was stirred at room temperature overnight. The mixture was then filtered through Celite and washed with 50 mL of methylene chloride. The resulting organic layer was washed by 100 mL of water, 100 mL of 5% HCl aq., and 100 mL of saturated brine subsequently. The organic layer was dried over MgSO4 and solvent was removed by evaporation. The final product was dried under vacuum and thus afford 2.3 gram of product as white solid (75%). IHNMR: 7.80 (2H, d, 7.6 Hz), 7.62 (2H, d, 7.2 Hz), 7.43 (2H, t, 7.6 Hz), 7.34 (2H, t, 7.2 Hz), 4.42 (2H, d, 6.8 Hz), 4.3O(1H, t, 7.2 Hz), 3.48 (4H, t, 5.6 H), 1.68- 1.51 (6H, br).
Example 9 -
Synthesis of l,3-bis(N-9H-fluoren-9-ylmethoxycarbonyl-4-piperidyl)propane (DFC)
To 9-fluorenylmethyl chloroformate (2.58 g, 10 mmol) in 50 mL of methylene chloride was added 1,3-di-piperidylpropane (2.1 g, 10 mmol) dropwise at 00C. The resulting mixture was stirred at 00C for 1 hour before it was stirred at room temperature overnight. The mixture was then filtered through Celite and washed with 50 mL of methylene chloride. The resulting organic layer was washed by 100 mL of water, 100 mL of 5% HCl aq., and 100 mL of saturated brine subsequently. The organic layer was dried over MgSO4 and solvent was removed by evaporation. The final product was re-crystalized by ethanol and dried under vacuum and thus afford 2.0 gram of product as white solid (60%). IHNMR: 7.80 (4H, d, 7.2 Hz), 7.62 (4H, d, 7.6 Hz), 7.43 (4H, t, 7.6 Hz), 7.34 (4H, t, 7.2 Hz), 4.44 (4H, d, 6.0 Hz), 4.28(2H, t, 7.2 Hz), 4.25-4.04 (4H, br), 2.90-2.70 (4H, br), 1.71(4H, br), 1.50-1.30 (4H, br), 1.30-1.20 (4H, br), 1.20-1.00 (4H, br).
Example 10
A tetrapolymer (MAdMA/MCpMAHAdMA/NLM) consisting of 40 mole% MAdMA, 10 mole % MCpMA, 15 mole % HAdMA and 35 mole % NLM dissolved in PGMEA solvent was used to formulate (1) with 7 wt % BPIN, 0.6 wt % bockbim and 0.7 wt % of NBC-101
(all wt % relative to polymer) to make a solution with 2.5 wt % of solid content to form resist A, (2) with 7 wt % BPIN, 0.6 wt % bockbim and 1.7 wt % of DFC (all wt % relative to polymer) to make a solution with 2.5 wt % of solid content to form resist B and (3) with 7 wt % BPIN, 0.6 wt % bockbim and 4.6 wt % of DFC (all wt % relative to polymer) to make a solution with 2.5 wt % of solid content to form resist C . The resulting solutions were filtered through a 0.2 μm filter. The resists were spin coated onto a 12" silicon wafer which had a 35 nm thickness coating of Shin Etsu SHA940 and 135nm thickness coating of JSR
HM8006. The resists were post-applying baked (PAB) at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 90° Dipole illumination with 0.72 outer and 0.587 inner σ). The wafers were then post-exposure baked (PEB) at about 130° C for about 60 seconds. The coated wafers were developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses
Lake's AD-10). Under these process conditions, the 32.5 nm lines on a 130 nm pitch were resolved. The wafers were then post-developing baked (PDB) at about 2000C for about 90 seconds.
A JSR AM2073J resist was then spin coated onto the above coated and developed wafers.
The resists were PAB at about 110° C for about 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (1.2NA, 90° dipole illumination with 0.72 outer and 0.587 inner σ annular illumination). During the exposure, the reticle was shifted so that the same set of 32.5 nm lines can be printed in between the first layer of resist. The wafers were then PEB at about 1200C for about 60 seconds. There were developed using a single puddle develop process for about 30 seconds with 0.263 N TMAH developer (Moses Lake's AD- 10).
Under these process conditions, the 32.5 nm line from the 2nd resist was printed in between another 32.5 nm line from the first resist to form a 32.5 nm lines and spaces on a 65 nm pitch for resist B and C. For Resist A, the second layer lines standing while the first layer lines disappeared. The thermal decomposition onset temperatures are 2030C and less thanl65°C for NBC-101 and DFC respectively. At PDB temperature of 2000C, only DFC will release the thermal base resulting in de-sensitization effect for resist B and C but not for resist A. The dose to size for resist B and resist C are the same irrespective of the loading of DFC.
The foregoing description of the embodiments of this invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and obviously, many modifications and variations are possible. Such modifications and variations that may be apparent to a person skilled in the art are intended to be included within the scope of the invention.

Claims

1. A resist composition, comprising: a polymer comprising first repeating units containing a lactone moiety, said polymer having the properties of being substantially soluble in a first solvent and becoming substantially insoluble in said first solvent after heating said polymer to a first temperature of about 140° C or greater; a thermal base generator capable of releasing a base upon heating said thermal base generator above a second temperature; and a photosensitive acid generator capable of releasing acid upon exposure to radiation.
2. The resist composition of claim 1, wherein said thermal base generator comprises at least one carbamate moiety.
3. The resist composition of claim 2, wherein said thermal base generator is selected from the group consisting of (i) structure:
Figure imgf000032_0001
wherein each R1 or R2 is independently selected from the group consisting of a hydrogen atom, a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, and (ii) dimers of said structure (i).
4. The resist composition of claim 1, wherein said thermal base generator comprises a compound selected from the group consisting of amides, sulfonamides, imides, imines, O- acyl oximes, benzoyloxycarbonyl derivatives, quarternary ammonium salts, and nifedipines.
5. The resist composition of claim 4, wherein said thermal base generator is selected from the group consisting of o-{(β-(dimethylamino)ethyl)aminocarbonyl}benzoic acid, o-{(γ- (dimethylamino)propyl)aminocarbonyl}benzoic acid, 2,5-bis{( β- (dimethylamino)ethyl)aminocarbonyl}terephthalic acid, 2,5-bis{( γ- (dimethylamino)propyl)aminocarbonyl}terephthalic acid, 2,4-bis{( β- (dimethylamino)ethyl)aminocarbonyl}isophthalic acid, and 2,4-bis{( γ- (dimethylamino)propyl)aminocarbonyl} isophthalic acid.
6. The resist composition of claim 1, wherein said first repeating units are selected from the group consisting of
Figure imgf000033_0001
,and
Figure imgf000034_0001
7. The resist composition of claim 1, wherein said polymer further comprises second repeating units having at least one acid labile moiety.
8. The resist composition of claim 7, wherein said at least one acid labile moiety comprises at least one tertiary ester moiety.
9. The resist composition of claim 8, wherein said at least one tertiary ester moiety is selected from the group consisting of esters of methyladamantane, esters of ethyladamantane, esters of methylcyclopentane, esters of ethylcyclopentane, esters of methylcyohexane, esters of ethylcycohexane, esters of methylcycloheptane, esters of ethylcyclohepatane, esters of methylcyclooctane, esters of ethylcyclooctane, and esters of t- butyl groups.
10. The resist composition of claim 7, wherein said polymer further comprises third repeating units having a moiety selected from the group consisting of alkyl alcohol groups and carboxylic acid groups.
11. The resist composition of claim 10, wherein said polymer further comprises fourth repeating units having a moiety selected from the group consisting of sulfonamides, fluorinated sulfonamides, fluoroalcohols, dicarboxyimides, N-hydroxy dicarboxyimides, phenol, naphthol, amino and imino groups.
12. A method comprising: forming a first film of a first photoresist on a substrate, said first photoresist comprising a first polymer, a thermal base generator capable of releasing a base above a first temperature, a first photosensitive acid generator capable of generating an acid upon exposure to radiation, and a first solvent, said first photoresist having the properties of being substantially soluble in said solvent and becoming substantially insoluble in said solvent after heating said first photoresist to above a second temperature; patternwise imaging said first film through a first mask, said imaging comprising exposing at least one region of said first film to radiation, resulting in producing a first acid catalyst in said at least one exposed region of said first film; after said imaging, developing said first film in an aqueous base, resulting in removing base-soluble exposed regions of said first film and forming a first patterned layer of said first film; and baking said first patterned layer above said first temperature and above said second temperature, wherein resulting from said baking said first thermal base generator releases a base within said first patterned layer, wherein resulting from said baking said first patterned layer becomes substantially insoluble in said first solvent.
13. The method of claim 12, further comprising: after said baking, forming a second film of a second photoresist over said first patterned layer, said second photoresist comprising a second polymer and a second photosensitive acid generator; patternwise imaging simultaneously said first patterned layer and said second film through a second mask, said simultaneous imaging exposing at least one region of said second film to radiation and resulting in producing a second acid catalyst in said at least one exposed region of said second film, wherein acid catalyst is produced in said first patterned layer and said base neutralizes said acid catalyst in said first patterned layer; and developing said second film, resulting in removing regions of said second film and forming a second patterned layer of said second film.
14. The method of claim 12, further comprising after said patternwise imaging said first film and before said developing said first film, baking said first film at a third temperature between about 80° C and about 150° C.
15. The method of claim 12, wherein said first temperature and said second temperature are each independently between about 140° C and about 260° C.
16. The method of claim 13, wherein said first polymer and said second polymer are different.
17. The method of claim 13, wherein said developing said second film comprises developing said second film in an aqueous base, wherein said removing regions of said second film comprises removing exposed base-soluble regions of said second film.
18. The method of claim 12, wherein said thermal base generator comprises at least one carbamate moiety.
19. The method of claim 18, wherein said thermal base generator is selected from the group consisting of (i) structure:
Figure imgf000036_0001
wherein each R1 or R2 is independently selected from the group consisting of a hydrogen atom, a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, and (ii) dimers of said structure (i).
20. The method of claim 12, wherein said thermal base generator comprises a compound selected from the group consisting of amides, sulfonamides, imides, imines, O-acyl oximes, benzoyloxycarbonyl derivatives, quarternary ammonium salts, and nifedipines.
21. The method of claim 12, wherein said first polymer comprises first repeating units selected from the group consisting of:
Figure imgf000037_0001
22. The method of claim 21, wherein said polymer further comprises second repeating units having at least one tertiary ester moiety, wherein said at least one tertiary ester moiety is selected from the group consisting of esters of methyladamantane, esters of ethyladamantane, esters of methylcyclopentane, esters of ethylcyclopentane, esters of methylcyohexane, esters of ethylcycohexane, esters of methylcycloheptane, esters of ethylcyclohepatane, esters of methylcyclooctane, esters of ethylcyclooctane, and esters of t- butyl groups.
23. The method of claim 22, wherein said polymer further comprises third repeating units having at least one alkylalcohol or at least one carboxylic acid moiety.
24. The method of claim 23, wherein said third repeating units are selected from the group consisting of
Figure imgf000038_0001
25. The method of claim 23, wherein said polymer further comprises fourth repeating units having a moiety selected from the group consisting of sulfonamides, fluorinated sulfonamides, fluoroalcohols, dicarboxyimides, N-hydroxy dicarboxyimides, phenol, naphthol, amino and imino groups.
26. A resist composition, comprising: a polymer which is substantially soluble in a first solvent and which becomes substantially insoluble in said first solvent after heating said polymer to a first temperature of about 140° C or greater; a thermal base generator selected from the group consisting of (i) structure:
Figure imgf000039_0001
wherein each R1 or R2 is independently selected from the group consisting of a hydrogen atom, a linear alkyl, a branched alkyl, a cycloalkyl, a halogenated linear alkyl, a halogenated branched alkyl, a halogenated cycloalkyl, an aryl, a halogenated aryl, and combinations thereof, and (ii) dimers of said structure (i); and a photosensitive acid generator capable of releasing acid upon exposure to radiation.
PCT/EP2008/066915 2007-12-13 2008-12-05 Photoresist compositions and method for multiple exposures with multiple layer resist systems WO2009074522A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2008801190576A CN101884013B (en) 2007-12-13 2008-12-05 Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP2010537397A JP5490013B2 (en) 2007-12-13 2008-12-05 Multi-exposure method using photoresist composition and multilayer resist system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/955,451 US7838198B2 (en) 2007-12-13 2007-12-13 Photoresist compositions and method for multiple exposures with multiple layer resist systems
US11/955,451 2007-12-13

Publications (1)

Publication Number Publication Date
WO2009074522A1 true WO2009074522A1 (en) 2009-06-18

Family

ID=40427142

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2008/066915 WO2009074522A1 (en) 2007-12-13 2008-12-05 Photoresist compositions and method for multiple exposures with multiple layer resist systems

Country Status (6)

Country Link
US (1) US7838198B2 (en)
JP (1) JP5490013B2 (en)
KR (1) KR20100092470A (en)
CN (2) CN102591161B (en)
TW (1) TWI416255B (en)
WO (1) WO2009074522A1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009199021A (en) * 2008-02-25 2009-09-03 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2011008237A (en) * 2009-05-26 2011-01-13 Shin-Etsu Chemical Co Ltd Resist material and pattern forming method
JP2011027980A (en) * 2009-07-24 2011-02-10 Shin-Etsu Chemical Co Ltd Pattern forming method
JP2011048111A (en) * 2009-08-26 2011-03-10 Fujifilm Corp Actinic ray- or radiation-sensitive resin composition and pattern forming method using the same
JP2011066393A (en) * 2009-06-26 2011-03-31 Rohm & Haas Electronic Materials Llc Method of forming electronic device
JP2011081046A (en) * 2009-10-02 2011-04-21 Tokyo Ohka Kogyo Co Ltd Resist composition and method of forming resist pattern
KR20110109911A (en) * 2010-03-30 2011-10-06 도오꾜오까고오교 가부시끼가이샤 Method of forming resist pattern, and resist composition
JP2013011905A (en) * 2012-09-18 2013-01-17 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2014134570A (en) * 2013-01-08 2014-07-24 Eiweiss Kk Photo base generator composition
US9377685B2 (en) 2011-09-22 2016-06-28 Tokyo Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern
US9405200B2 (en) 2011-09-22 2016-08-02 Toyko Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern
US9411224B2 (en) 2011-05-11 2016-08-09 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern
TWI667543B (en) * 2014-09-17 2019-08-01 日商富士軟片股份有限公司 Positive photosensitive resin composition, method for producing cured film, cured film, liquid crystal display device, organic electroluminescence display device, and touch panel

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5035562B2 (en) * 2007-08-22 2012-09-26 信越化学工業株式会社 Pattern formation method
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
JP5516195B2 (en) 2009-08-04 2014-06-11 信越化学工業株式会社 Pattern forming method and resist material
JP5753351B2 (en) * 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Method for forming an electronic device
JP5621735B2 (en) * 2010-09-03 2014-11-12 信越化学工業株式会社 Pattern forming method and chemically amplified positive resist material
US8394573B2 (en) 2010-09-16 2013-03-12 International Business Machines Corporation Photoresist compositions and methods for shrinking a photoresist critical dimension
JP5900340B2 (en) * 2010-09-17 2016-04-06 Jsr株式会社 Radiation-sensitive resin composition and resist pattern forming method
US20120122031A1 (en) * 2010-11-15 2012-05-17 International Business Machines Corporation Photoresist composition for negative development and pattern forming method using thereof
CN102566285B (en) * 2010-12-09 2014-05-28 远东新世纪股份有限公司 Manufacturing method for microstructure and microstructure
EP2492750A1 (en) * 2011-02-28 2012-08-29 Rohm and Haas Electronic Materials LLC Photoresist compositions and methods of forming photolithographic patterns
EP2492749A1 (en) * 2011-02-28 2012-08-29 Rohm and Haas Electronic Materials LLC Photoresist compositions and methods of forming photolithographic patterns
KR20130039124A (en) * 2011-10-11 2013-04-19 삼성전자주식회사 Method for forming patterns of semiconductor device
JP5898962B2 (en) * 2012-01-11 2016-04-06 東京応化工業株式会社 Resist composition and resist pattern forming method
WO2013137157A1 (en) * 2012-03-14 2013-09-19 Jsr株式会社 Photoresist composition, method for forming resist pattern, acid diffusion control agent and compound
TWI666257B (en) * 2014-12-30 2019-07-21 Sumitomo Bakelite Co., Ltd. Photoimageable compositions containing thermal base generators
TWI636326B (en) * 2015-05-15 2018-09-21 南韓商羅門哈斯電子材料韓國公司 Photobase generators and photoresist compositions comprising same
JP7086232B2 (en) * 2018-06-15 2022-06-17 マトソン テクノロジー インコーポレイテッド Methods and equipment for post-exposure baking of work material

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0532183A2 (en) * 1991-08-14 1993-03-17 International Business Machines Corporation Photosensitive polyamic alkyl ester composition and process for its use
US5576143A (en) * 1991-12-03 1996-11-19 Fuji Photo Film Co., Ltd. Light-sensitive composition
US20020160315A1 (en) * 2001-04-27 2002-10-31 Richter Ernst-Christian Process for structuring a photoresist layer
EP1522891A1 (en) * 2003-10-08 2005-04-13 Fuji Photo Film Co., Ltd. Positive resist composition and pattern forming method using the same

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) * 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
US5932391A (en) * 1995-08-18 1999-08-03 Kabushiki Kaisha Toshiba Resist for alkali development
JPH1010741A (en) * 1996-06-27 1998-01-16 Dow Corning Asia Kk Ultraviolet-curing polysiloxane composition and production of cured substance pattern using same
US6177360B1 (en) * 1997-11-06 2001-01-23 International Business Machines Corporation Process for manufacture of integrated circuit device
GB9727186D0 (en) * 1997-12-24 1998-02-25 Du Pont Uk Photoactive materials applicable to imaging systems
JPH11269138A (en) * 1998-03-20 1999-10-05 Mitsubishi Paper Mills Ltd Organic base producing agent
US6303266B1 (en) * 1998-09-24 2001-10-16 Kabushiki Kaisha Toshiba Resin useful for resist, resist composition and pattern forming process using the same
JP4265286B2 (en) * 2002-06-03 2009-05-20 Jsr株式会社 Radiation sensitive resin composition
JP4154953B2 (en) * 2002-08-09 2008-09-24 日立化成デュポンマイクロシステムズ株式会社 Positive photosensitive resin composition, pattern manufacturing method using the same, and electronic component
US6991893B2 (en) * 2002-10-31 2006-01-31 Intel Corporation Controlling resist profiles through substrate modification
JP4225806B2 (en) * 2003-03-04 2009-02-18 富士フイルム株式会社 Positive resist composition
JP2005017354A (en) * 2003-06-23 2005-01-20 Fuji Photo Film Co Ltd Composition for hologram recording material, hologram recording material, and method for recording hologram
KR100575001B1 (en) * 2004-12-10 2006-04-28 삼성전자주식회사 Double photo lithography method without intermixing
KR100639680B1 (en) * 2005-01-17 2006-10-31 삼성전자주식회사 Forming method of fine patterns for semiconductor device
KR101431297B1 (en) * 2007-03-28 2014-08-20 제이에스알 가부시끼가이샤 Positive-working radiation-sensitive composition and method for resist pattern formation using the composition
JP2008286924A (en) * 2007-05-16 2008-11-27 Panasonic Corp Chemically amplified resist material, topcoat film forming material and pattern forming method using them
US7803521B2 (en) * 2007-11-19 2010-09-28 International Business Machines Corporation Photoresist compositions and process for multiple exposures with multiple layer photoresist systems

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0532183A2 (en) * 1991-08-14 1993-03-17 International Business Machines Corporation Photosensitive polyamic alkyl ester composition and process for its use
US5576143A (en) * 1991-12-03 1996-11-19 Fuji Photo Film Co., Ltd. Light-sensitive composition
US20020160315A1 (en) * 2001-04-27 2002-10-31 Richter Ernst-Christian Process for structuring a photoresist layer
EP1522891A1 (en) * 2003-10-08 2005-04-13 Fuji Photo Film Co., Ltd. Positive resist composition and pattern forming method using the same

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009199021A (en) * 2008-02-25 2009-09-03 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2011008237A (en) * 2009-05-26 2011-01-13 Shin-Etsu Chemical Co Ltd Resist material and pattern forming method
JP2011066393A (en) * 2009-06-26 2011-03-31 Rohm & Haas Electronic Materials Llc Method of forming electronic device
JP2011071479A (en) * 2009-06-26 2011-04-07 Rohm & Haas Electronic Materials Llc Method for forming electronic device
JP2011027980A (en) * 2009-07-24 2011-02-10 Shin-Etsu Chemical Co Ltd Pattern forming method
JP2011048111A (en) * 2009-08-26 2011-03-10 Fujifilm Corp Actinic ray- or radiation-sensitive resin composition and pattern forming method using the same
JP2011081046A (en) * 2009-10-02 2011-04-21 Tokyo Ohka Kogyo Co Ltd Resist composition and method of forming resist pattern
JP2011209501A (en) * 2010-03-30 2011-10-20 Tokyo Ohka Kogyo Co Ltd Method for forming resist pattern, and resist composition
KR20110109911A (en) * 2010-03-30 2011-10-06 도오꾜오까고오교 가부시끼가이샤 Method of forming resist pattern, and resist composition
US8877432B2 (en) 2010-03-30 2014-11-04 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern and resist composition
KR101686682B1 (en) 2010-03-30 2016-12-14 도오꾜오까고오교 가부시끼가이샤 Method of forming resist pattern, and resist composition
US9411224B2 (en) 2011-05-11 2016-08-09 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern
US9377685B2 (en) 2011-09-22 2016-06-28 Tokyo Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern
US9405200B2 (en) 2011-09-22 2016-08-02 Toyko Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern
US9494866B2 (en) 2011-09-22 2016-11-15 Tokyo Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern
JP2013011905A (en) * 2012-09-18 2013-01-17 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2014134570A (en) * 2013-01-08 2014-07-24 Eiweiss Kk Photo base generator composition
TWI667543B (en) * 2014-09-17 2019-08-01 日商富士軟片股份有限公司 Positive photosensitive resin composition, method for producing cured film, cured film, liquid crystal display device, organic electroluminescence display device, and touch panel

Also Published As

Publication number Publication date
TWI416255B (en) 2013-11-21
CN102591161B (en) 2014-06-11
JP2011508246A (en) 2011-03-10
CN101884013A (en) 2010-11-10
JP5490013B2 (en) 2014-05-14
CN102591161A (en) 2012-07-18
US7838198B2 (en) 2010-11-23
CN101884013B (en) 2013-04-10
US20090155718A1 (en) 2009-06-18
KR20100092470A (en) 2010-08-20
TW200938952A (en) 2009-09-16

Similar Documents

Publication Publication Date Title
US7838200B2 (en) Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP5490013B2 (en) Multi-exposure method using photoresist composition and multilayer resist system
TWI472873B (en) Multiple exposure photolithography methods and photoresist compositions
JP4297408B2 (en) Thick film photoresists and how to use them
KR101925154B1 (en) Resist composition and method of forming resist pattern
TWI575319B (en) Resist composition and method of forming resist pattern
TW201418886A (en) Chemically amplified resist composition and patterning process
US8053172B2 (en) Photoresists and methods for optical proximity correction
CN103376660A (en) Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
TWI476516B (en) Pattern formation method
KR101911094B1 (en) Method of forming resist pattern
JP4157497B2 (en) Photosensitive composition and pattern forming method using the same
US6641971B2 (en) Resist compositions comprising silyl ketals and methods of use thereof
KR102651485B1 (en) Photoresist compositions and methods containing multiple trigger monomers
TWI307451B (en) Photoresist composition
JP2009009131A (en) Condensed aromatic structurs and method for photolithographic application
US6177229B1 (en) Photosensitive composition
CN117440944A (en) Oxothiazonium ion-containing sulfonic acid derivative compounds as photoacid generators in resist applications
JP4677423B2 (en) Highly sensitive resist composition for electron-based lithography
KR20060074193A (en) Photoresist composition and method for forming pattern using the same
KR20050113792A (en) Photoresist composition and method for forming pattern using the same

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200880119057.6

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08859454

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20107011940

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2010537397

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08859454

Country of ref document: EP

Kind code of ref document: A1