CN102204415A - 用于具流动性的介电质的制造设备及工艺 - Google Patents

用于具流动性的介电质的制造设备及工艺 Download PDF

Info

Publication number
CN102204415A
CN102204415A CN2009801175829A CN200980117582A CN102204415A CN 102204415 A CN102204415 A CN 102204415A CN 2009801175829 A CN2009801175829 A CN 2009801175829A CN 200980117582 A CN200980117582 A CN 200980117582A CN 102204415 A CN102204415 A CN 102204415A
Authority
CN
China
Prior art keywords
plasma
processing chamber
plasma zone
gas
zone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801175829A
Other languages
English (en)
Inventor
D·卢博米尔斯基
Q·梁
J·G·杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102204415A publication Critical patent/CN102204415A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在此揭露多种基材工艺系统,此系统可包含一工艺腔室,其具有一内部空间,该内部空间可将内腔压与外腔压保持不同。上述系统亦可包含远程等离子体系统,其可操作以在工艺腔室的内部空间之外产生等离子体。此外,上述系统可包含一第一工艺气体通道,其可操作以将第一工艺气体由远程等离子体系统输送至工艺腔室内部空间中;以及一第二工艺气体通道,其可操作以输送未经远程等离子体系统处里的第二工艺气体。上述第二工艺气体通道具有一末端,其开口朝向上述工艺腔室的内部空间中,且该末端至少部分由该第一工艺气体通道所围绕。

Description

用于具流动性的介电质的制造设备及工艺
本申请案主张美国临时专利申请案No.61/052,080(2008年5月9日提出申请)的权利。本申请案亦与美国专利申请案No.11/754,858(2007年5月29日提出申请,发明名称为“PROCESS CHAMBER FOR DIELECTRIC GAPFILL”)相关。在此引用上述二申请案的全部内容以供参照。
技术领域
本发明有关于和沉积、图样化与处理膜层与涂层时所用的设备、工艺与材料相关的工艺技术方案,其代表性的实例包括(但不限于)与半导体及介电质材料与组件、硅基晶圆与平面显示器(如TFT)相关的应用。
背景技术
已知的半导体工艺系统包含了一或多工艺腔室以及用以在腔室间移动基材的装置。可利用机械手臂在腔室间运输基材,上述机械手臂可延伸以拾起基材、可收回且之后再度延伸以将该基材放置于一不同的目标腔室中。图1为基材工艺腔室的概要图式。每一腔室具有一台座轴105与台座110或以某种均等的方式来支承欲处里的基材115。
台座可以是一种位于工艺腔室中的加热板,其可用以加热基材。在机械手臂进行放下基材与拾起基材的动作之间,可利用一种机械、差压或静电式的装置将上述基材保持于台座上。在机械手臂操作的过程中,通常可使用升降销来抬升晶圆。
通常会在腔室中进行一或多种半导体制造的工艺步骤,例如退火基材或沉积或蚀刻基材上的膜层。在某些工艺步骤中,可将介电质膜层沉积成复杂的布局型态。已发展出多种技术来沉积出具有较窄间隙的介电质,上述技术包含多种化学气相沉积技术的变形,这些变形有时会使用到等离子体技术。可利用高密度等离子体化学气相沉积(High-density plasma chemical vapor deposition,简称HDP-CVD)来填充许多几何形状,这是因为进入介电质的反应物的撞击轨迹通常呈垂直方向,且在同一时间又会进行溅镀作用。然而,在某些非常狭窄的间隙中会持续地出现空洞,此乃(至少部分)由于在最初撞击之后欠缺流动性所造成的。在沉积之后,将材料回流可以填充上述空洞,但是假若该介电质(如SiO2)具有较高的回流温度,则回流的步骤亦可能会耗去晶圆工艺的热预算中很大的一部分热能。
已知可利用具流动性的材料例如旋涂式玻璃(spin-on glass,简称SOG)来填充某些HPD-CVD工艺无法完全填充的间隙,其原理是此类材料的表面流动性较高。以液体的形式来施覆SOG,并在涂覆后将其固化以移除溶剂,藉以将该材料转变为固态的玻璃膜层。当SOG的黏度较低时,可提升其孔隙填充(填隙)与平面化的能力。不幸的是,在固化过程中,低黏度的材料能会收缩。显著的膜层收缩会造成高膜层应力以及脱层的问题,对于较厚的膜层而言,上述问题更形严重。
当要在基材表面上进行沉积时,分开两种组成分的输送路径能够产生具流动性的膜层。图1所示的基材工艺系统具有独立的输送信道125与135。可经由一通道来输送有机硅烷前体,并经由另一通道来输送氧化前体。上述氧化前体可受到一远程等离子体145的激发。和利用常见输送路径的替代性工艺相比之下,上述两种组成分的混合区域120较靠近基材115。由于膜层生长(而非浇注)于基材表面上,使得降低黏性所需的有机组成分会在工艺中蒸发,因而能够降低和固化步骤相关的收缩问题。利用此种方法来生长膜层限制了可供所吸收物种保持流动性的时间,此一限制可能导致膜层沉积的不均匀。可利用折流板140,以便更均匀地分散反应区域中的前体。
利用高表面移动性材料能改善填隙能力与沉积均匀度,且高表面移动性与高有机物含量相关。在沉积步骤后,可能还留存某些有机物,且可运用固化步骤。可利用内嵌于台座中的电阻加热器来提高台座110与基材115的温度,以进行固化步骤。
发明内容
本说明书揭露的具体实施例包含基材工艺系统,其具有一工艺腔室以及至少部分配置于该腔室中的一基材支承组件。利用不同的路径,将两种气体(或两种气体混合物的组合)输送至基材工艺腔室。可将一工艺气体输送至工艺腔室中,在第一等离子体区域中的等离子体中激发该工艺气体,并使其通过一喷头而进入一第二等离子体区域中,使其于该处和一含硅气体互动并于一基材的表面上形成一膜层。可在第一等离子体区域或第二等离子体区域任一者之中引发一等离子体。
将工艺气体引入工艺腔室中的时候,可任意选择工艺气体的配置方位,并经由工艺腔室上方(上等离子体电极)的位置引入工艺气体。喷头形成了中等离子体电极,而工艺腔室的底部和/或台座形成了下电极。可选择中电极使其实质上与上电极或下电极相匹配,因而可决定等离子体的位置。在沉积过程中,可利用上电极与中电极在第一等离子体区域中引发一等离子体。可选择中电极的电位,使其实质上与上电极相符,因而可于第二等离子体区域中产生等离子体。第二等离子体区域中的等离子体有助于固化所沉积膜层,且亦可用以清洁腔室。在清洁工艺中,存在于第二等离子体区域中的气体可含有氟。
在所揭露的具体实施例中,工艺气体含有氧、氢和/或氮(如,氧气(O2)、臭氧(O3)、一氧化二氮(N2O)、氧化氮(NO)、二氧化氮(NO2)、氨(NH3)、NxHy包含联氨(N2H4)、硅烷,二硅烷、TSA、DSA...等等),且当此气体通过喷头后,会和被引入第二等离子体区域中的含硅前体(如,硅烷、二硅烷、TSA、DSA、TEOS、OMCTS、TMDSO...等等)结合。这些反应物的组合物会在基材上形成一膜层。上述膜层可以是氧化硅、氮化硅、氧掺杂碳化硅(silicon oxycarbide)或氮氧化硅(silicon oxynitride)。
在所揭露的额外具体实施例中,可引入一处理气体(如,氧气(O2)、臭氧(O3)、N2O、NO、NO2、NxHy包含N2H4、H2、N2、NH3与水蒸气)。可由工艺腔室上方引入此处理气体,并于第一等离子体区域中激发之。或者是,可在该气体进入第一等离子体区域之前,利用一远程等离子体激发之。此气体对于膜层生长并无显著贡献,但在膜层生长的过程之中或之后,上述气体可降低膜层中的氢、碳与氟含量。氢与氮基团会诱使生长中薄膜内不良成分的含量降低。处理气体受激发而产生的衍生物对于膜层的帮助在于其会消耗成长中晶格内的碳与其它原子,因而可降低在固化过程中发生的收缩现象以及后续造成的膜层应力问题。
在进一步的具体实施例中,先利用远程等离子体或第一等离子体区域中的等离子体来激发处理气体,并在经过腔室维护程序(清洁和/或干燥(season))后,将所激发的工艺气体经由喷头输送至第二等离子体区域中,以移除工艺腔室内部空间中残留的氟。
可利用多种不同的频率来激发上述两种等离子体,但一般而言,所用的频率属于射频(radio frequency,简称RF)的范围。上述等离子体可以经由感应或电容耦合。可利用流动的水或其它冷却剂在设置于腔室部件(包含喷头)中的通道内流动,以冷却所有的腔室部件。
可由下文实施方式中的说明部分地了解本发明的额外具体实施例与特征;且本发明所属技术领域中具有通常知识者在阅读了本说明书或由所揭露的具体实施例的实际操作中,可以想见本发明的其它额外具体实施例与特征。可利用本说明书中所述的工具手段、组合与方法,而实践或得到所示具体实施例的特征与优点。
附图说明
可经由参照本说明书所述的实施方式与附随图式进一步理解所示具体实施例的本质与优点。
图1为概要图式,其阐明了先前技术中一沉积腔室内的工艺区域,在该腔室中可利用独立的氧化前体与有机硅烷前体来成长一膜层。
图2为根据所揭示具体实施例具有多个分区等离子体产生区域的工艺腔室的透视图。
图3A为根据所揭示具体实施例的电开关的电路概要图式。
图3B为根据所揭示具体实施例的电开关的电路概要图式。
图4A为根据所揭示具体实施例具有多个分区等离子体产生区域的工艺腔室的剖面图。
图4B为根据所揭示具体实施例具有多个分区等离子体产生区域的工艺腔室的剖面图。
图5为根据所揭示具体实施例的气体入口与第一等离子体区域的特写透视图。
图6A为根据所揭示具体实施例,用于工艺腔室的双重来源盖板的透视图。
图6B为根据所揭示具体实施例,用于工艺腔室的双重来源盖板的剖面图。
图7A为根据所揭示具体实施例,用于工艺腔室的双重来源盖板的剖面图。
图7B为根据所揭示具体实施例,用于工艺腔室的喷头的下方图式。
图8为根据所揭示具体实施例的基材工艺系统。
图9为根据所揭示具体实施例的基材工艺腔室。
图10为根据所揭示具体实施例的沉积工艺的流程头。
图11为根据所揭示具体实施例的膜层固化工艺的流程图。
图12为根据所揭示具体实施例的腔室清洁工艺的流程图。
在附随图式中,可能会以相同的组件符号来标示相似的组件和/或特征结构。在本说明书中提及组件符号时,相关叙述适用于任一种具有相同组件符号的相似组件。
具体实施方式
所揭示的具体实施例包含基材工艺系统,其具有一工艺腔室以及至少部分配置于该腔室中的一基材支承组件。利用不同的路径,将至少两种气体(或两种气体混合物的组合)输送至基材工艺腔室。可将一工艺气体输送至工艺腔室中,在一等离子体中激发该工艺气体,并使其通过一喷头而进入一第二等离子体区域中,使其于该处和一含硅气体互动并于一基材的表面上形成一膜层。可在第一等离子体区域或第二等离子体区域任一者之中引发一等离子体。
图2为具有多个分区等离子体产生区域的工艺腔室的透视图,上述分区等离子体产生区域可保持多种气体前体之间的隔离。可通过气体入口组件225,将含有氧、氢和/或氮(如,氧气(O2)、臭氧(O3)、N2O、NO、NO2、NH3、NxHy包含N2H4、硅烷、二硅烷、TSA、DSA...等等)的工艺气体引入第一等离子体区域215中。第一等离子体区域215可含有由上述工艺气体所形成的一等离子体。亦可在上述工艺气体进入第一等离子体区域215之前,先在远程等离子体系统(remote plasma system,简称RPS)220中激发该工艺气体。在第一等离子体区域215下方有喷头210,其是一种多孔的间隔件(此处称为喷头),此一间隔件介于第一等离子体区域215与第二等离子体区域242之间。在具体实施例中,可经由在盖板204与喷头210(其亦可具传导性)之间施加AC功率(例如RF功率),以在第一等离子体区域215中产生等离子体。
为了要在第一等离子体区域中形成等离子体,可将电性绝缘环205设置于盖板204与喷头210之间,以使得可将RF功率施加于盖板204与喷头210之间。电性绝缘环205可由陶瓷材料制成,且可具有高崩溃电压,以避免其发出放电火花。
第二等离子体区域242可通过喷头210中的孔洞而接收来自第一等离子体区域215的已激发气体。第二等离子体区域242亦可经过由工艺腔室200的一侧壁235延伸出来的管230来接收气体和/或蒸气。来自第一等离子体区域215的气体和来自管230的气体会在第二等离子体区域242中混合,以加工基材255。相较于图1所示的已知方法(仅利用PRS 145与折流板140),在第一等离子体区域215中引发等离子体以激发工艺气体,会使得流入基材工艺区域(第二等离子体区域242)中的激发物种分布较为均匀。在所揭露的具体实施例中,第二等离子体区域242中不含等离子体。
上述加工基材255可包含当以设置于第二等离子体区域242中的台座265来支承基材时,在基材255的表面上形成一膜层。工艺腔室200的侧壁235可含有气体分配通道,其可将气体分配至管230。在具体实施例中,由气体分配通道经过管230与每一管230末端的开孔和/或沿着管230纵长配置的开口,以分配含硅前体。
应注意,可利用折流板(图中未绘示,但与图1所示的折流板140相似)来打断由气体入口225进入第一等离子体区域215的气体,其目的在于更均匀地将气体分配于第一等离子体区域215中。在某些揭示的具体实施例中,上述工艺气体为氧化前体(其可含有氧气(O2)、臭氧(O3)...等等),且当其流经喷头中的孔洞之后,上述工艺气体可和以较为直接的方式引入第二等离子体区域的含硅前体(如,硅烷、二硅烷、TSA、DSA、TEOS、OMCTS、TMDSO...等等)结合。可利用上述反应物的组合以在基材255上形成氧化硅(SiO2)膜层。在某些具体实施例中,上述工艺气体含有氮(NH3、NxHy包含N2H4、TSA、DSA、N2O、NO、NO2...等等),当此种工艺气体与含硅前体结合时,可用以形成氮化硅、氮氧化硅或一低K介电质。
在所揭露的具体实施例中,亦可配置一基材工艺系统,使得可经由在喷头210与台座265之间施加一RF功率,而在第二等离子体区域242中引发等离子体。当腔室中存在基材255时,可将RF功率施加于喷头210与基材255之间。喷头210与腔室主体280之间设有绝缘间隔件240,这使得可将喷头210保持在与基材255不同的电位。台座265可由台座轴270所支承。可经由狭缝阀275将基材255输送至工艺腔室200,且在将基材255向下放置到台座265之前,可由升降销260来支承基材255。
在上述说明中,经由在平行的板子上施加RF功率,而在第一等离子体区域215与第二等离子体区域242中产生等离子体。在替代性的具体实施例中,可感应地产生上述两种等离子体或其中之一,在此种情形中,上述两种板子可以不具传导性。可将传导线圈嵌埋于两个电性绝缘板之中和/或围绕该区域的工艺腔室的电性绝缘壁之中。不论等离子体是电容耦合等离子体(capacitively coupled plasma,简称CCP)或感应耦合等离子体(inductively coupled plasma,简称ICP),对于腔室暴露于等离子体的部分,可利用流动的水流经该部分中的冷却流体通道,以冷却此部分。在所揭露的具体实施例中,可利用水来冷却喷头210、盖板204与腔室壁205。当利用感应耦合等离子体时,腔室可(更轻易地)同时和第一等离子体区域与第二等离子体区域中的等离子体一起作用。上述能力有助于加速腔室清洁。
图3A-B为电开关300的电路概要图,电开关300可在第一等离子体区域或第二等离子体区域中产生等离子体。在图3A与图3B中,电开关300是一种修改后的双极双向(double-pole double-throw,简称DPDT)开关。电开关300可处于两个位置其中之一。图3A中绘示了第一位置,而图3B中绘示了第二位置。图面左方的两个接线为连接至工艺腔室的电力输入接线302、304,而图面右方的两个接线310、312为连接至工艺腔室上的组件的输出接线。电开关300的位置可物理上邻近或位于工艺腔室上,但也可以位于远离工艺腔室处。可手动或自动地操作电开关300。自动操作可能涉及使用一或多继电器以改变两个接点306、308的状态。在所示的此一具体实施例中,将标准DPDT开关修改后而得到电开关300,其中上述两个接点306、308的每一者仅能接触一个电力输出接线312,且仅可由一个接点306来接触剩余的输出接线。
第一位置(图3A)使得可在第一等离子体区域中产生等离子体,且在第二等离子体区域中产生的等离子体很少或不会产生等离子体。在大多数的基材工艺系统中,腔室主体、台座与基材(如果有的话)通常处于接地电位。在所揭露的具体实施例中,不论电开关300的位置为何,台座都处于接地端335。图3A显示的开关位置可施加RF功率325至盖板370,并将喷头375接地(335,换句话说,施加0伏特至喷头)。此种开关位置可对应于在基材表面沉积膜层的步骤。
第二位置(图3B)可得可在第二等离子体区域中产生等离子体。图3B绘示的开关位置可施加RF功率325至喷头375,且使得盖板370成为浮接。电性浮接的盖板370会使得在第一等离子体区域中没有或仅有少量等离子体。在所揭露的具体实施例中,此种开关位置可对应于在沉积后对膜层进行处理或对应于腔室清洁程序。
在图3A与图3B中,皆绘示了两个阻抗匹配电路360、365以及盖板370与喷头375的方位,上述阻抗匹配电路适用于来自RF源的一或多AC频率输出。阻抗匹配电路360、365可经由降低传回RF源的反射功率,而降低RF功率源的功率需求。同样地,在所揭示的某些具体实施例中,上述频率可以是射频频谱以外的频率。
图4A-B为根据所揭示具体实施例具有多个分区等离子体产生区域的工艺腔室的剖面图。在膜层沉积(氧化硅、氮化硅、氮氧化硅或氧掺杂碳化硅)的过程中,可经由气体入口组件405使得工艺气体流入第一等离子体区域415中。可在工艺气体进入第一等离子体区域415之前,在远程等离子体系统(RPS)400中激发上述工艺气体。根据所揭示具体实施例,绘示了盖板412与喷头425。图4A绘示的盖板412上施加了AC电压源,且喷头处于接地状态,这和图3A中电开关处于第一位置的状态一致。绝缘环420放置于盖板412与喷头425之间,使得可在第一等离子体区域中产生电容耦合等离子体(CCP)。
可经由自工艺腔室的侧壁435延伸的管430将含硅前体流入第二等离子体区域433中。由工艺气体衍生的经激发物种可流经喷头425中的孔洞,并与流过第二等离子体区域433的含硅前体反应。在不同的具体实施例中,喷头425中的孔洞的直径可小于12mm,可介于0.25mm至8mm之间,且可介于0.5mm至6mm之间。喷头的厚度可以有很大的差异,但上述孔洞的直径的长度可约略等于或小于孔洞的直径,以增加由第二等离子体区域433中的工艺气体衍生的经激发物种的密度。由于开关的位置(图3A),在第二等离子体区域433中不会出现等离子体或仅有少量等离子体。由工艺气体衍生的激发物种与含硅前体会在基材上方的区域中结合,且有时会在基材上结合,以于基材上形成具流动性的膜层。随着膜层逐渐生长,较新近加入的材料比起下方的材料具有较高的流动性。随着有机成分的蒸发,会使得其流动性降低。采用此种技术,可以利用具流动性的膜层来填充间隙,而不会产生先前技术中沉积完成后有机成分密度较高的现象。可利用固化步骤,以进一步降低或移除所沉积膜层中的有机成分。
仅在第一等离子体区域415中激发工艺气体或和远程等离子体系统(RPS)结合来激发工艺气体具有多种优点。由于第一等离子体区域415中的等离子体,可提升第二等离子体区域433中由工艺气体衍生的经激发物种的浓度。此种浓度的增加可能是由于可第一等离子体区域415中等离子体的位置所造成的。第二等离子体区域433(和远程等离子体系统(RPS)400相较的下)更接近第一等离子体区域415,因此能够让经激发物种因为和其它气体分子、腔室壁与喷头表面碰撞而离开激发态的时间变短。
在第二等离子体区域433中,由工艺气体衍生的经激发物种的浓度的均匀性亦有所提升。这可能是因为第一等离子体区域415的形状更类似第二等离子体区域433的形状。对于远程等离子体系统(RPS)400中的经激发物种而言,要流经邻近喷头425边缘的孔洞(相较于邻近喷头425中心的孔洞)必须移动较远的距离。上述较远的距离会导致中经激发物种的激发程度下降,举例来说,这可能会使得在邻近基材边缘处的膜层生长率降低。在第一等离子体区域415中激发工艺气体可能可以减低上述变异。
除了上述工艺气体与含硅前体的外,可以在不同的时间点基于不同的目的而引入其它气体。可引入一处理气体,以便由腔室壁、基材、所沉积膜层和或沉积中的膜层中移除不需要的物种。上述处理气体可包含至少一种下述气体:H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2与水蒸气。可先在等离子体中激发上述处理气体,之后再使用该处理气体,以便降低或移除所沉积膜层中的有机成分。在其它所揭示的具体实施例中,处理气体可以不经等离子体激发。当处理气体包含水蒸气时,可利用质量流量计(mass flow meter,简称MFM)与注入阀或可商业取得的水蒸气产生器来完成运输。
图4B为第二等离子体区域433中具有等离子体的工艺腔室的剖面图,此一状态与图3B所示的开关位置一致。在第二等离子体区域433中,可利用等离子体来激发经由从工艺腔室的侧壁435延伸的管430输送的处理气体。由于开关的位置(图3B)在第一等离子体区域415中不含或仅有少量的等离子体。由处理气体衍生的经激发物种可和基材455上的膜层反应,并移除已沉积膜层中的有机化合物层。在本说明书中,此一工艺步骤可称为处理或固化膜层。
在某些所揭示的具体实施例中,第二等离子体区域433中的管430包含绝缘材料,例如氮化铝或氧化铝。绝缘材料可降低在某些基材工艺腔室架构中会出现的放电火花。
亦可通过气体入口组件405将处理气体引入第一等离子体区域415。在所揭露的具体实施例中,可仅通过气体入口组件405来引入处理气体,或和流经由第二等离子体区域433的侧壁435延伸的管430的处理气体流结合。处理气体先流经第一等离子体区域415,之后可通过过喷头430,以处理所沉积膜层,可于第一等离子体区域415中的等离子体中或者是第二等离子体区域433中的等离子体中激发上述处理气体。
除了处理或固化基材455之外,可使处理气体流入存有等离子体的第二等离子体区域433中,以清洁第二等离子体区域433的内部空间表面(如,侧壁435、喷头425、台座465与管430)。相似地,可使处理气体流入存有等离子体的第一等离子体区域415中,以清洁第一等离子体区域415的内部空间表面(如,盖板412、侧壁420与喷头425)。在所揭露的具体实施例中,可在第二等离子体区域维护程序(清洁和/或干燥)之后,使处理气体流入第二等离子体区域433(存有等离子体)中,以移除第二等离子体区域433的内部空间中残留的氟。在一独立的程序或在同一程序中的一独立步骤(可能是依序进行)中,在第一等离子体区域维护程序(清洁和/或干燥)之后,使处理气体流入第一等离子体区域415(存有等离子体)中,以移除第一等离子体区域415的内部空间中残留的氟。一般而言,上述两个区域可能同时需要清洁或干燥,且可在继续基材工艺之前,依序利用处理气体来处理每一区域。
在工艺步骤中,上述处理气体工艺所用的处理气体与沉积步骤中所用的气体不同。亦可在沉积过程中,使用处理气体来移除生长中膜层中的有机成分。图5为气体入口组件503与第一等离子体区域515的特写透视图。图中绘示的气体入口组件503更详细地呈现了两个独立的气流通道505、510。在一具体实施例中,工艺气体经由外通道505流入第一等离子体区域515中。上述工艺气体可能经过或未经RPS500所激发。处理气体可经由内通道510流入第一等离子体区域515中,处理气体不会经过RPS 500激发。可将外信道505与内信道510排置成多种物理上的配置(如,在所揭露的具体实施例中,RPS激发的气体可流经内通道),而使得上述两个通道中仅有一个会流经RPS 500。
工艺气体与处理气体两者都可在第一等离子体区域515中的等离子体中被激发,且接着经由喷头520中的孔洞而流入第二等离子体区域中。处理气体的目的是可在沉积过程中移除膜层中不需要的成分(通常是有机成分)。在图5所示的实际组态中,来自内通道510的气体对于膜层生长可能没有显著的贡献,但其可用于排除生长中膜层内的氟、氢气和/或碳。
图6A与图6B分别以透视图和剖面图阐明了根据所揭示具体实施例用于工艺腔室的一腔室上组件。气体入口组件601将气体引入第一等离子体区域611中。在气体入口组件601内可看到两个独立的气体供应通道。第一通道602所携带的气体会通过远程等离子体系统RPS 600,而第二通道603则绕过了RPS 600。在所揭露的具体实施例中,第一通道602可以输送工艺气体,而第二通道603可用以输送处理气体。如图所示,盖板605与喷头615之间有一绝缘环610其使得可在盖板605相对于喷头615之间施加AC电压。基材工艺腔室625的侧壁中绘示了气体分配通道,有多个管径向朝内地设置于上述体分配通道上。在图6A-B中并未绘示上述多个管。
在本具体实施例中,图6A-B的喷头615的厚度大于该些孔洞的最小直径617。为了将由第一等离子体区域611穿透至第二等离子体区域630的经激发物种保持在显著的浓度,可在穿越喷头615的一部分区域中形成较大的孔洞619,以限制该些孔洞的最小直径617的长度618。在所揭露的具体实施例中,该些孔洞的最小直径617的长度可和该些孔洞617的直径为相同或更小的数量级。
图7A绘示了根据所揭示具体实施例可用于工艺腔室的双重来源盖板的另一剖面图。气体入口组件701可将气体引入第一等离子体区域711中。在气体入口组件701中可看见两个独立的气体供应通道。第一通道702携带的气体会经过远程等离子体系统RPS 700,而第二通道703会绕过RPS 700。在所揭露的具体实施例中,第一通道702可用以携带工艺气体,而第二通道703可用以携带处理气体。如图所示,盖板705与喷头715之间有一绝缘环710其使得可在盖板705相对于喷头715之间施加AC电压。
图7A的喷头715具有与图6A-B相似的贯通孔,以允许气体(例如工艺气体)的经激发衍生物由第一等离子体区域711移动到第二等离子体区域730中。喷头715亦具有一或多中空容积751可供一蒸气或气体(例如含硅前体)天填充于其中并穿过小孔755而进入第二等离子体区域730(而非第一等离子体区域711)中。可利用中空容积751与小孔755来取代用以将含硅前体引入第二等离子体区域730中的多个管。在所揭示的具体实施例中,喷头715的厚度大于该些贯通孔的最小直径的长度。为了将由第一等离子体区域711穿透至第二等离子体区域730的经激发物种保持在显著的浓度,可在穿越喷头715的一部分区域中形成较大的孔洞719,以限制该些贯通孔的最小直径717的长度718。在所揭露的具体实施例中,该些贯通孔的最小直径717的长度可和该些贯通孔717的直径为相同或更小的数量级。
在具体实施例中,贯通孔的数目可介于约60至约2000个之间。这些贯通孔可以有各种外形,但以圆形最容易制造。在所揭露的具体实施例中,贯通孔的最小直径可介于约0.5mm至约20mm之间,或介于约1mm至约6mm之间。贯通孔的剖面形状亦有多种选择,上述形状可以是圆锥形、圆柱型或上述两种形状的组合。在不同的具体实施例中,用以将气体引入第二等离子体区域730的小孔755的数目可介于约100至约5000个之间,或约500至约2000个之间。上述小孔的直径可介于约0.1mm至约2mm之间。
图7B绘示了根据所揭示具体实施例可用于工艺腔室的喷头715的下方图式。喷头715对应于图7A所示的喷头。在喷头715的下方,贯通孔719的内直径(inner-diameter,简称ID)较大;而在喷头715的上方,贯通孔719的ID较小。小孔755实质上均匀地分散于整个喷头表面,甚至介于该些贯通孔719之间,这有利于提供比其它所述具体实施例更为均匀的混合效果。
例示基材工艺系统
可将沉积系统的具体实施例整合到较大的生产系统中以制造集成电路芯片。图8绘示了根据所揭示具体实施例的可用以沉积、烘烤与固化的腔室系统800。在图式中,一对前开式晶圆盒自动加载装置(front opening unified pods,简称FOUPs)802可供应基材(如,直径为300mm的晶圆),机械手臂804接收该基材,且该基材在被放入晶圆工艺腔室808a-f之前会被放入低压保存区806。可利用第二机械手臂810将基材晶圆在保存区806与工艺腔室808a-f间来回输送。
工艺腔室808a-f可包含一或多系统组件以便在基材晶圆上沉积、退火、固化和/或蚀刻一具流动性的介电质膜层。在一种配置中,可利用两对工艺腔室(如,808c-d及808e-f)以在基材上沉积具流动性的介电质材料,且可利用第三对工艺腔室(如,808a-b)来退火所沉积的介电质。在另一种配置中,可以利用同样的两对工艺腔室(如,808c-d及808e-f)以在基材进行具流动性的介电质膜层的沉积与退火两种步骤,而可利用第三对腔室(如,808a-b)来对所沉积的膜层进行UV或电子光束固化。在又一种配置中,可利用上述三对腔室(如,808a-f)在基材上沉积与固化具流动性的介电质膜层。在又另一种配置中,可利用两对工艺腔室(如,808c-d及808e-f)来进行该具流动性的介电质的沉积与UV或电子光束固化两种步骤,且可利用第三对工艺腔室(如,808a-b)来退火介电质膜层。当可理解,系统800亦涵盖了其它用于具流动性的介电质膜层的沉积、退火与固化腔室配置方式。
此外,可将工艺腔室808a-f中的一或多个腔室配置为湿式工艺腔室。这些工艺腔室包含在含有湿气的大气条件下加热该具流动性的介电质膜层。因此,系统800的具体实施例系统800可包含湿式工艺腔室808a-b与退火工艺腔室808c-d以在所沉积的介电质膜层上进行湿式与干式退火两种工艺。
图9为根据所揭示具体实施例的基材工艺腔室950。远程等离子体系统(RPS)948可处理一气体,该气体可流经气体入口组件954。更明确地说,气体可经由通道956而进入第一等离子体区域983中。在第一等离子体区域983下方有一多孔间隔件(一喷头)952,其可在第一等离子体区域983与喷头952下方的第二等离子体区域985之间保持某种物理上的间隔。喷头能够避免存在于第一等离子体区域983中的等离子体直接激发第二等离子体区域985中的气体,但仍可使得经激发物种能够由第一等离子体区域983进入第二等离子体区域985中。
喷头952设置于朝向基材工艺腔室950的第二等离子体区域985的内部空间径向突起的侧壁喷嘴(或管)953上方。喷头952可通过穿过板厚度的多个孔洞来分散该些前体。举例来说,喷头952可具有约10至10000个孔洞(如,200个孔洞)。在所示的具体实施例中,喷头952可分散含有氧、氢和/或氮的工艺气体或上述工艺气体受到第一等离子体区域983中的等离子体激发后的衍生物。在具体实施例中,工艺气体可包含以下一或更多种气体:氧气(O2)、臭氧(O3)、N2O、NO、NO2、NH3、NxHy包含N2H4、硅烷、二硅烷、TSA及DSA。
管953的末端(最接近第二等离子体区域985之中心处)可具有孔洞和/或孔洞可环绕或沿着管953的长度而散布。可利用这些孔洞将含硅前体引入第二等离子体区域中。当经由喷头952中的孔洞到达第二等离子体区域985中的工艺气体及其经激发的衍生物和经由管953到达第二等离子体区域985中的含硅前体结合后,可在第二等离子体区域985中由台座986支承的基材上产生一膜层。
上方入口954可具有二或更多独立的前体(如,气体)流动通道956与958,以避免二或更多种前体在进入喷头952上方的第一等离子体区域983之前发生混合与反应。第一流动通道956可具有一环状外形,其围绕着入口954的中央。可将此通道耦合至可产生反应性前体的远程等离子体系统(RPS)948,上述反应性前体可向下流过通道956并进入喷头952上方的第一等离子体区域983中。第二流动通道958可以是圆柱形的,且可用以将第二前体流入第一等离子体区域983中。此流动通道携带的前体和/或载体气体源会绕过一反应性物种产生单元。之后,将上述第一与第二前体混合并经由板952中的孔洞流入第二等离子体区域中。
可利用喷头952与上方入口954将工艺气体输送至基材工艺腔室950中的第二等离子体区域985。举例来说,第一流动通道956可输送一工艺气体,其包含一或多原子氧(处于接地或电激发状态中)、氧气(O2)、臭氧(O3)、N2O、NO、NO2、NH3、NxHy包含N2H4、硅烷、二硅烷、TSA及DSA。上述工艺气体亦可包含载体气体例如氦气、氩气、氮气(N2)等等。第二通道958亦可输送工艺气体、载体气体和/或处理气体(其可用以从生长中或已沉积膜层中移除不要的成分)。
对于电容耦合等离子体(CCP),可将电绝缘体976(如,陶瓷环)设置于喷头与工艺腔室的传导性上方部分982之间,以便可在其间施加一电压差。利用电绝缘体976可确保RF功率能够在第一等离子体区域983的侧壁中产生等离子体。相似地,亦可在喷头952与台座986(图9中未绘示)之间设置陶瓷环,以使得可在第二等离子体区域985中产生等离子体。陶瓷环可设置于管953的上方或下方,其实际位置取决于管953的垂直位置以及陶瓷环是否含有可能导致放电火花的金属成分。
可在喷头上方的第一等离子体区域983中引发等离子体,或可在喷头与侧壁喷嘴953下方的第二等离子体区域985中引发等离子体。在沉积过程中,可将AC电压(通常落于射频范围中)施加于工艺腔室的传导性上方部分982与喷头952之间,以在第一等离子体区域983中引发等离子体。当开启下等离子体985以固化膜层或清洁邻近第二等离子体区域985的内部空间表面时,使上等离子体处于低功率或无功率的状态中。将AC电压施加于喷头952与台座986(或腔室下部)之间,以在第二等离子体区域985中形成等离子体。
在本说明书中,处于「激发态」的气体指该气体中至少部分气体分子处于振动激发、解离和/或离子化状态中。一气体可以是二或更多种气体的组合。
所揭示的具体实施例包含了与沉积、蚀刻、固化和/或清洁工艺相关的方法。图10为根据所揭示具体实施例的沉积工艺的流程图。利用至少分隔成两个间隔的基材工艺腔室来实施此处所述的方法。上述基材工艺腔室可具有第一等离子体区域与第二等离子体区域。第一等离子体区域与第二等离子体区域两个区域内,都可用以引发等离子体。
图10中所述的工艺一开始将基材输送至基材工艺腔室中(步骤1005)。将基材放置于第二等离子体区域,之后工艺气体可流入(步骤1010)第一等离子体区域中。亦可将工艺气体引入第一等离子体区域或第二等离子体区域其中之一(未显示此步骤)。之后可于第一等离子体区域中引发等离子体可(步骤1015)但不会在第二等离子体区域中引发等离子体。使含硅前体流入第二等离子体区域(1020)中。可调整上述步骤1010、1015与1020的时机与顺序,而不致悖离本发明的精神。一旦引发了等离子体且前体开始流入,会在基材上生长一膜层(1025)。当膜层生长(1025)达一预定厚度或一预定时间之后,可停止(1030)等离子体与气体的流动,且可由基材工艺腔室移除(1035)基材。在移除基材之前,可利用下文所述的工艺来固化该膜层。
图11为根据所揭示具体实施例的膜层固化工艺的流程图。此步骤开始(1100)的时间可以紧接在图10所示的移除(1035)基材之前。此一工艺的开始(1100)也可以是将一基材移动到工艺腔室的第二等离子体区域中的时候。在此种情形中,上述基材可能先在另一工艺腔室中经过加工。可将处理气体(可能是上文所述的气体)流入(1110)第一等离子体区域中,并在第一等离子体区域中引发(1115)等离子体(同样地,可调整其时机/顺序)。之后可移除(1125)膜层中不需要的成分。在某些所示的具体实施例中,上述不需要的成分为有机成分,且上述工艺涉及固化或硬化(1125)基材上的膜层。在此一工艺中,膜层可能会收缩。停止(1130)气体的流动与等离子体,且之后可将基材移出(1135)基材工艺腔室。
图12绘示根据所揭示具体实施例的腔室清洁工艺的流程图。此工艺的开始(1200)可发生于腔室清洁或干燥之后,上述情形通常发生于预防维护(preventative maintenance,简称PM)程序之后或可能是未经计划的事件。由于基材工艺腔室具有两个间隔,因此无法同时在第一等离子体区域与第二等离子体区域中供应等离子体,因此可能需要依序的工艺来清洁上述二区域。使处理气体(可能是上文所述的气体)流入(1210)第一等离子体区域中,并在第一等离子体区域中引发(1215)等离子体(同样地,可以调整时机/顺序)。清洁(1225)第一等离子体区域中的内部空间表面,而后停止(1230)处理气体的流动与等离子体。在第二等离子体区域重复以上工艺。使处理气体流入(1235)第二等离子体区域中,并在其中引发(1240)等离子体。清洁(1245)二等离子体区域的内部空间表面,而后停止(1250)处理气体的流动与等离子体。在异常检修与维护程序中,可进行内部空间表面清洁程序以移除基材工艺腔室的内部空间表面的氟以及其它残留的污染物。
上文已揭露了多个具体实施例,本发明所述技术领域中具有通常知识者当可想见,可利用各种修改、替代性的建构与均等物,而不致背离所揭示的具体实施例的精神。此外,此处并未描述多种已知的工艺与组件,以免不必要地模糊本发明。因此,不应将上述实施方式视为对本发明范围的限制。
针对此处提出的所有数值范围,当可理解亦已具体揭露了在上限值与下限值之间每一中间数值(至下限值单位十分之一),除非上下文清楚地为相反的表示。上述数值范围涵盖了在所述数值中的较小范围或所述范围中的中间数值以及任何其它所述的数值或中间数值。上述较小范围中可独立地包含或排除其上限值与下限值;且在每一范围中,上、下限值其中之一、二者或皆未包含于该较小范围中的情形,亦为本发明所涵括,上述情形以所述范围中任何明确排除的限值为限。当所述范围包含限值其中之一或二者时,排除上述所包含的限值其中之一或二者的范围,亦为本发明所涵括。
在本说明书与附随申请专利范围中,单数型的「一」与「该」包含数个型的情形,除非上下文另有清楚相反的指示。因此,举例来说,当提及「一工艺」时,可包含多个此种工艺;且当提及「该马达」时,可包含一或多各马达与已知技艺人士所知的均等物。
此外,在本说明书与下文的权利要求书中,「包含」、「包含」、「包括」等词汇与其动词变化形式的本意在指明所述特征、事物、组件或步骤的存在,单其并未排除可存有或加入一或多其它特征、事物组件、步骤、动作或群组等的情形。

Claims (25)

1.一种基材工艺系统,包含:
一工艺腔室,其具有一内部空间,能用以保持一内腔压与一外腔压不同;
一远程等离子体系统,其可操作以在该工艺腔室的该内部空间之外产生一等离子体;
一第一工艺气体通道,其可操作以将一第一工艺气体由该远程等离子体系统输送至该工艺腔室的该内部空间;以及
一第二工艺气体通道,其可操作以输送未经该远程等离子体系统处里的一第二工艺气体;其中该第二工艺气体通道具有一末端,该未端开口朝向该工艺腔室的该内部空间,且该末端至少部分由该第一工艺气体通道所围绕。
2.如权利要求1项所述的基材工艺系统,其中该第一工艺气体通道的一末端部分具有一环状外形。
3.如权利要求1项所述的基材工艺系统,其中该第二工艺气体通道的一末端部分具有一圆柱状外形。
4.如权利要求1项所述的基材工艺系统,其中该第二工艺气体通道的该末端同心地设置于该第一工艺气体通道之内。
5.如权利要求1项所述的基材工艺系统,其中当该第一与第二工艺气体离开该第一与第二信道时,在一种实质上平行的方向中流动。
6.如权利要求1项所述的基材工艺系统,其中该第一与第二工艺气体通道开口朝向该工艺腔室的该内部空间中位于一喷头上游的位置,其中该喷头将该工艺腔室的该内部空间区分成第一与第二等离子体区域。
7.一种基材工艺系统,包含:
一工艺腔室,其具有一内部空间能用以保持一内腔压,其中该内腔压可与一外腔压不同;
一第一传导表面,其位于该工艺腔室中;
一第二传导表面,其位于该工艺腔室中;以及
一喷头,其设置于该第一传导表面与该第二传导表面之间,以界定一第一等离子体区域及一第二等离子体区域,其中:
该第一等离子体区域配置于该喷头与该第一传导表面之间;
该第二等离子体区域配置于该喷头与该第二传导表面之间;
该喷头包含一导电性材料且与该第一传导表面电性绝缘,除非利用一电开关形成一电性连接;以及
该喷头与该第二传导表面电性绝缘,除非利用一电开关形成一电性连接。
8.如权利要求7项所述的基材工艺系统,更包含一气体处置系统,该气体处置系统包含:
一第一通道,其传导一工艺气体;
一第二通道,其传导一处理气体;以及
一远程等离子体系统(RPS),其激发该工艺气体。
9.如权利要求7项所述的基材工艺系统,其中该喷头所处的一电位与该第一传导表面相似,使得该第一等离子体区域中不含等离子体或仅有少量等离子体。
10.如权利要求7项所述的基材工艺系统,其中该喷头所处的一电位与该第二传导表面相似,使得该第二等离子体区域中不含等离子体或仅有少量等离子体。
11.如权利要求7项所述的基材工艺系统,其中该电开关系位于该工艺腔室的外部。
12.如权利要求7项所述的基材工艺系统,其中该第二传导表面保持于一接地端且该电开关具有至少两种可能位置,其中:
该电开关的一第一位置将一射频功率源连接至该第一传导表面,并将一接地端连接至该喷头,以在该第一等离子体区域中产生一第一等离子体;
该电开关的一第二位置连接该射频功率。
13.如权利要求7项所述的基材工艺系统,其中利用射频(RF)功率源,来产生该第一等离子体区域与该第二等离子体区域中的等离子体。
14.如权利要求7项所述的基材工艺系统,其中在任何时间点上,在该两个等离子体区域其中之一中产生一等离子体。
15.如权利要求7项所述的基材工艺系统,其中该基材工艺系统包含一泵取系统,该泵取系统耦接至该工艺腔室,且可操作以移除该工艺腔室中的材料。
16.如权利要求7项所述的基材工艺系统,其中该系统包含一远程等离子体系统,其位于该工艺腔室外部且流体耦接至该第一等离子体区域,其中该远程等离子体系统用以将一气体供应至该第一等离子体区域,该气体包含处于一激发态中的多个反应物。
17.一种经区分为独立等离子体区域的工艺腔室,该工艺腔室包含:
一间隔件,其将该工艺腔室划分为一第一等离子体区域与一第二等离子体区域,其中该些区域的每一者可操作以包含独立的等离子体;
位于该该间隔件中的多个孔洞,其允许气体由该第一等离子体区域穿透进入该第二等离子体区域;以及
一基材台座,其占据该第二等离子体区域的一部分。
18.如权利要求17项所述的工艺腔室,其中该第一等离子体区域与该第二等离子体区域中的多个等离子体经由感应耦合。
19.如权利要求17项所述的工艺腔室,其中该第一等离子体区域与该第二等离子体区域中的多个等离子体经由电容耦合。
20.如权利要求17项所述的工艺腔室,其中该工艺腔室耦接至一控制器,该控制器可操作以执行一程序,以在该第一等离子体区域中产生一第一等离子体,以作为一介电质沉积工艺的一部分;以及当停止该第一等离子体之后,于该第二等离子体区域中产生一第二等离子体,以作为一固化或清洁工艺的一部分。
21.如权利要求17项所述的工艺腔室,其中该工艺腔室包含一气体入口,以供应一工艺气体至该第一等离子体区域。
22.如权利要求21项所述的工艺腔室,其中该气体入口耦接至一远程等离子体系统,该远程等离子体系统可操作以供应处于一激发态的一工艺气体至该第一等离子体区域。
23.如权利要求21项所述的工艺腔室,其中该气体入口流体耦接至一流体供应系统,该流体供应系统可操作以供应一工艺气体予该工艺腔室,该工艺气体包含选自以下至少一者的气体:O2、O3、N2O、NO、NO2、NH3、NH4OH、NxHy、硅烷、二硅烷、TSA、DSA、H2、N2、H2O2与水蒸气。
24.如权利要求17项所述的工艺腔室,其中该工艺腔室包含一或多喷嘴,其设置于该第二等离子体区域的该基材台座上方,且可操作以输送一工艺气体至该第二等离子体区域。
25.如权利要求24项所述的工艺腔室,其中该一或多喷嘴流体耦接至一流体供应系统,该流体供应系统可操作以供应一含碳与硅的前体至该工艺腔室。
CN2009801175829A 2008-05-09 2009-04-22 用于具流动性的介电质的制造设备及工艺 Pending CN102204415A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US5208008P 2008-05-09 2008-05-09
US61/052,080 2008-05-09
US12/210,940 US20090277587A1 (en) 2008-05-09 2008-09-15 Flowable dielectric equipment and processes
US12/210,940 2008-09-15
PCT/US2009/041402 WO2009137272A2 (en) 2008-05-09 2009-04-22 Flowable dielectric equipment and processes

Publications (1)

Publication Number Publication Date
CN102204415A true CN102204415A (zh) 2011-09-28

Family

ID=41265294

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801175829A Pending CN102204415A (zh) 2008-05-09 2009-04-22 用于具流动性的介电质的制造设备及工艺

Country Status (7)

Country Link
US (1) US20090277587A1 (zh)
JP (1) JP5444330B2 (zh)
KR (1) KR101573299B1 (zh)
CN (1) CN102204415A (zh)
SG (1) SG190637A1 (zh)
TW (1) TWI520659B (zh)
WO (1) WO2009137272A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103887233A (zh) * 2014-04-08 2014-06-25 苏州大学 集成电路用低介电常数薄膜层的制备工艺
CN104782234A (zh) * 2013-03-15 2015-07-15 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
CN110289233A (zh) * 2011-10-27 2019-09-27 应用材料公司 用于蚀刻低k及其它介电质膜的制程腔室
CN111599717A (zh) * 2020-05-09 2020-08-28 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
CN115318755A (zh) * 2021-05-10 2022-11-11 中国科学院微电子研究所 一种等离子体掺杂工艺腔的清洁方法
CN115354304A (zh) * 2022-08-25 2022-11-18 拓荆科技(上海)有限公司 半导体反应腔

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103493185A (zh) 2011-04-08 2014-01-01 应用材料公司 用于uv处理、化学处理及沉积的设备与方法
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN104350584B (zh) * 2012-05-23 2017-04-19 东京毅力科创株式会社 基板处理装置及基板处理方法
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
JP2015185565A (ja) * 2014-03-20 2015-10-22 東京エレクトロン株式会社 シリコン酸化膜形成装置の洗浄方法、シリコン酸化膜の形成方法、及び、シリコン酸化膜形成装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR101994700B1 (ko) * 2017-09-28 2019-07-01 주식회사 유진테크 샤워헤드 및 기판처리장치
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109686682B (zh) * 2018-12-14 2020-11-03 中国科学院微电子研究所 一种平衡晶圆间热预算的方法
CN112368807A (zh) * 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
KR102362893B1 (ko) * 2019-11-27 2022-02-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US159343A (en) * 1875-02-02 Improvement in stays for the bottoms of pantaloons
US94773A (en) * 1869-09-14 Improvement in lightning-rods
US80057A (en) * 1868-07-21 william hawksworth
US277734A (en) * 1883-05-15 New jbksey
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4848400A (en) * 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
US5125069A (en) * 1989-12-22 1992-06-23 Netherlands Health Sciences Blood warmer
US5081069A (en) * 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JPH06260428A (ja) * 1993-03-05 1994-09-16 Mitsubishi Electric Corp プラズマcvd装置
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) * 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3122601B2 (ja) * 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5966595A (en) * 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
DE19629705A1 (de) * 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
CN1319130C (zh) * 1999-12-24 2007-05-30 株式会社荏原制作所<Del/> 半导体基片处理装置及处理方法
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
WO2001073159A1 (fr) * 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Procede et appareil permettant de former un film metallique
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US20050121145A1 (en) * 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7479210B2 (en) * 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2007324154A (ja) * 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110289233A (zh) * 2011-10-27 2019-09-27 应用材料公司 用于蚀刻低k及其它介电质膜的制程腔室
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
CN104782234A (zh) * 2013-03-15 2015-07-15 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
US11728141B2 (en) 2013-03-15 2023-08-15 Applied Materials, Inc. Gas hub for plasma reactor
CN104782234B (zh) * 2013-03-15 2017-07-14 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
CN103887233B (zh) * 2014-04-08 2017-05-17 苏州大学 集成电路用低介电常数薄膜层的制备工艺
CN103887233A (zh) * 2014-04-08 2014-06-25 苏州大学 集成电路用低介电常数薄膜层的制备工艺
WO2015154337A1 (zh) * 2014-04-08 2015-10-15 苏州大学张家港工业技术研究院 集成电路用低介电常数薄膜层的制备工艺
CN111599717A (zh) * 2020-05-09 2020-08-28 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
CN111599717B (zh) * 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
CN115318755A (zh) * 2021-05-10 2022-11-11 中国科学院微电子研究所 一种等离子体掺杂工艺腔的清洁方法
CN115318755B (zh) * 2021-05-10 2024-04-12 中国科学院微电子研究所 一种等离子体掺杂工艺腔的清洁方法
CN115354304A (zh) * 2022-08-25 2022-11-18 拓荆科技(上海)有限公司 半导体反应腔
CN115354304B (zh) * 2022-08-25 2023-11-17 拓荆科技(上海)有限公司 半导体反应腔

Also Published As

Publication number Publication date
KR101573299B1 (ko) 2015-12-02
TWI520659B (zh) 2016-02-01
WO2009137272A2 (en) 2009-11-12
JP5444330B2 (ja) 2014-03-19
WO2009137272A3 (en) 2010-03-04
TW201010518A (en) 2010-03-01
JP2011525299A (ja) 2011-09-15
KR20110010631A (ko) 2011-02-01
US20090277587A1 (en) 2009-11-12
SG190637A1 (en) 2013-06-28

Similar Documents

Publication Publication Date Title
CN102204415A (zh) 用于具流动性的介电质的制造设备及工艺
CN103688338A (zh) 用于处理晶片及清洁腔室的感应等离子体源
US8357435B2 (en) Flowable dielectric equipment and processes
US7989365B2 (en) Remote plasma source seasoning
CN106463362B (zh) 具有气体分布及单独泵送的批量固化腔室
CN103430289B (zh) 用于蚀刻sin膜的方法
CN101463473B (zh) 用于等离子体化学气相沉积反应器的喷淋板电极
TWI505361B (zh) 處理含矽與氧層的方法
CN100577865C (zh) 为介质cvd膜实现晶片间厚度均匀性的高功率介质干燥
TWI544548B (zh) 形成使用氧化物襯墊的可流動介電質之方法
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
JP4881873B2 (ja) 改良された過渡的気相堆積のためのガス分配システム
CN100524641C (zh) 等离子体处理装置
US20130288485A1 (en) Densification for flowable films
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
KR20120043073A (ko) 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성
KR20080084593A (ko) 유전체 물질을 포함하는 실리콘 형성에서의 개선된 갭-충진증착 방법 및 장치
JP2013516763A (ja) フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
KR20100071604A (ko) 분사각도의 조절이 가능한 분사노즐을 가지는 고밀도 플라즈마 화학기상증착장치
KR102667714B1 (ko) 순환적인 세정 유도 rps 냉각에 의한 rps 결함 감소
JPH11288928A (ja) Si酸化膜の形成方法及びプラズマ励起化学的気相成長装置
KR20100004304A (ko) 고밀도 플라즈마 화학 기상 증착 장치
KR20190133789A (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
TW201542864A (zh) 具有氣體分佈及個別泵送的批次固化腔室
KR20010038757A (ko) 산화막 증착 장비의 제어 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110928