CN104782234A - 具有高度对称四重式气体注入的等离子体反应器 - Google Patents

具有高度对称四重式气体注入的等离子体反应器 Download PDF

Info

Publication number
CN104782234A
CN104782234A CN201480003017.0A CN201480003017A CN104782234A CN 104782234 A CN104782234 A CN 104782234A CN 201480003017 A CN201480003017 A CN 201480003017A CN 104782234 A CN104782234 A CN 104782234A
Authority
CN
China
Prior art keywords
gas
group
service duct
vent
cover plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480003017.0A
Other languages
English (en)
Other versions
CN104782234B (zh
Inventor
Y·罗森佐恩
K·坦蒂翁
I·优素福
V·克尼亚齐克
S·巴纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201710469815.8A priority Critical patent/CN107221487B/zh
Publication of CN104782234A publication Critical patent/CN104782234A/zh
Application granted granted Critical
Publication of CN104782234B publication Critical patent/CN104782234B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一种等离子体反应器的环形盖板具有上层及下层气体分配通道,该等气体分配通道沿着相等长度路径从气体供应接线分配气体至顶部气体喷嘴的各自的气体分配通道。

Description

具有高度对称四重式气体注入的等离子体反应器
技术领域
本揭示案是关于用于处理工件(诸如半导体晶圆)的等离子体反应器的气体注入系统。
背景技术
控制等离子体反应器的腔室中的处理气体分配影响等离子体处理期间工件的蚀刻速率分配或沉积速率分配的工艺控制。安装在腔室顶部上的可调谐的气体注入喷嘴可具有针对不同区域(诸如中心区域及侧面区域)的不同的注入狭缝。分离的气体输入可供应不同的注入狭缝,及单独的流动速率控制可经提供用于每一气体输入。每一气体输入可经由不同气流路径供应相对应的注入狭缝的不同部分。期望的是,出于均匀性目的,来自特定气体输入的不同气流路径具有相等长度。然而,针对所有输入及喷嘴,使得气体输入至喷嘴的路径长度相等似乎是不可能的,导致气体分配的非均匀性。
发明内容
用于等离子体反应室的气体传输系统中的环形盖板具有内部及外部气体注入通道的气体喷嘴。环形盖板界定中心开口及环形盖板包含:(a)第一组及第二组气体出口,耦合至内部及外部气体注入通道的各者,第一组及第二组气体出口的每一者中的气体出口间隔第一弧长;(b)气体传输块,包含第一及第二气体供应通道及(c)第一组及第二组气体分配通道,在各自上位准及下位准中。第一组及第二组气体分配通道的每一者包含:(a)弧形气体传输通道,该弧形气体传输通道具有连接至一对相对应的气体出口的一对端部;及(b)弧形气体供应通道,该弧形气体供应通道包含连接至第一及第二气体供应通道中的相对应一者的输入端及耦合至弧形气体传输通道的中间区的输出端。
在实施例中,气体传输块经安置在一位置处,该位置偏离气体供应通道的每一者的输出端达第二弧长,以使得第一组及第二组气体传输通道的气体供应通道具有相同长度。
在实施例中,第一组及第二组气体出口的气体出口关于环形盖板的圆周分布,及第一组气体出口与第二组气体出口沿着圆周交替。
在有关实施例中,第一组气体出口包含第一对气体出口及弧长对应于半圆,及第二组气体出口包含第二对气体出口,该第二对气体出口偏离第一对气体出口达四分之一圆。
在进一步有关实施例中,气体传输块经安置在一位置处,该位置偏离气体供应通道的每一者的输出端达四分之一圆的弧长。
在一个实施例中,第一组及第二组气体分配通道的每一者进一步包含流动转移元件,该流动转移元件连接于气体供应通道的输出端及气体传输通道的中间区之间。流动转移元件包含:(a)径向转移导管,(b)轴向输入导管,耦合于气体供应通道的输出端及径向转移导管的一端之间,及(c)轴向输出导管,连接于气体供应通道的中间区及径向转移导管的另一端之间。
在实施例中,轴向输入导管连接气体供应通道的输出端中的开口,及轴向输出导管连接气体供应通道的中间区中的开口。
在进一步的实施例中,气体喷嘴包含:(a)第一组径向升高供应接线,该第一组径向升高供应接线具有耦合至第一组气体出口的各者的各自输入端及覆盖内部气体注入通道的各自输出端,(b)第二组径向升高供应接线,该第二组径向升高供应接线具有耦合至第二组气体出口的各者的各自输入端及覆盖内部气体注入通道的各自输出端,(c)第一组数个轴向下降接线,该第一组轴向下降接线连接于各自的输出端及内部气体注入通道之间,(d)第二组轴向下降接线,该第二组轴向下降接线连接于各自的输出端及外部气体注入通道之间。
在有关实施例中,(a)第一组轴向下降接线在各自的下降点处相交内部气体注入通道,该等各自的下降点沿着内部气体注入通道均匀地间隔,及(b)第二组轴向下降接线在各自的下降点处相交外部气体注入通道,该等各自的下降点沿着外部气体注入通道均匀地间隔。
在有关实施例中,气体喷嘴进一步包含:(a)第一组供应端口,该第一组供应端口围绕气体喷嘴的周围均匀地间隔及连接至第一组气体出口的各者,(b)第二组供应端口,该第二组供应端口围绕气体喷嘴的周围均匀地间隔及连接至第二组气体出口的各者,及该第二组供应端口偏离第一组气体供应端口,(c)其中第一组供应端口经连接至各对第一组径向升高供应接线,及第二组供应端口经连接至各对第二组径向升高供应接线。
在有关实施例中,进一步包含:(a)第一组径向气体传输导管,该第一组径向气体传输导管连接于第一组气体出口及第一组供应端口的各者之间,及(b)第二组径向气体传输导管,该第二组径向气体传输导管连接于第二组气体出口及第二组供应端口的各者之间。
根据有关态样,用于等离子体反应器的环形盖板包含:(a)第一组及第二组气体出口,该第一组及第二组气体出口的每一者中的气体出口间隔第一弧长,(b)气体传输块,该气体传输块包含第一及第二气体供应通道,(c)各自的上位准及下位准中的第一组及第二组气体分配通道。第一组及第二组气体分配通道的每一者包含:(a)弧形气体传输通道,该弧形气体传输通道具有连接至一对相对应的气体出口的一对端,及(b)弧形气体供应通道,该弧形气体供应通道包含连接至第一及第二气体供应通道中相对应的一者的输入端,及耦合至弧形气体传输通道的中间区的输出端。
在环形盖板的一个实施例中,气体传输块安置在一位置处,该位置偏离气体供应通道的每一者的输出端达第二弧长,使得第一组及第二组气体传输通道的气体供应通道具有相同长度。
在环形盖板的一个实施例中,第一组及第二组气体出口的气体出口关于环形盖板的圆周分布,及其中第一组气体出口与第二组气体出口沿着圆周交替。
在环形盖板的有关实施例中,第一组气体出口包含第一对气体出口及弧长对应于半圆,及第二组数个气体出口包含第二对气体出口,该第二对气体出口偏离第一对气体出口达四分之一圆。
在环形盖板的实施例中,气体传输块经安置在一位置处,该位置偏离气体供应通道的每一者的输出端达四分之一圆的弧长。
在环形盖板的进一步的实施例中,第一组及第二组气体分配通道的每一者进一步包含流动转移元件,该流动转移元件连接于气体供应通道的输出端及气体传输通道的中间区之间。在一个实施例中,流动转移元件包含:(a)径向转移导管;(b)轴向输入导管,耦合于气体供应通道的输出端及径向转移导管的一端之间;及(c)轴向输出导管,连接于气体供应通道的中间区及径向转移导管的另一端之间。
附图简述
以可详细理解获得的本发明的示例性实施例的方式,上面简要概述的本发明的更详细描述可参阅本发明的实施例获得,该等实施例图示在附图中。将理解,本文未论述某些众所周知的工艺以便不模糊本发明。
图1A为根据一个实施例的反应室的部分剖视正视图。
图1B为对应于图1A的平面图。
图2及图3A分别为图1的实施例的气体传输盖板的俯视图及仰视图。
图3B为沿着图3A的线3B-3B的横截面视图。
图4为图2的一部分的放大图。
图5为沿着图2的线5-5截取的横截面视图。
图6为沿着图2的线6-6截取的横截面视图。
图7为图1的实施例的气体传输中心的剖视平面图。
图8为沿着图7的线8-8截取的剖视横截面视图。
图9为沿着图7的线9-9截取的剖视横截面视图。
为了促进理解,已尽可能使用相同元件符号以表示附图中共用的相同元件。考虑到一个实施例的元件及特征可有利地并入其他实施例,而无需进一步详述。然而,应注意,附图仅图示本发明的示例性实施例及因此附图不被视为对本发明范畴的限制,因为本发明可允许其他同等有效的实施例。
具体实施方式
待解决的问题包括经由在腔室的盖板中形成的气体通道传输气体至注入器。一些设计中的盖板为环形及界定圆形中心开口,该圆形中心开口构造介电窗,RF功率经由该介电窗耦合至腔室中。在气体供应块处所有气体输入彼此相邻,复杂化了气体传输。为了来自每一气体输入的所有气流路径具有相等长度,气体通道有必要提供突然反向的递归路径。如此造成具有妨碍工艺控制的效应的紊流。此外,递归气体通道占据大的面积,要求环形盖板具有大的面积,如此限制介电窗相对于腔室的尺寸――此为重要的问题。进一步而言,取决于气体供应块的位置,路径长度显著不同。
可调谐的气体喷嘴具有圆形通道,该等圆形通道供应气体喷嘴的不同注入狭缝。从每一气体输入至可调谐的气体喷嘴的气体供应沿着直线气体接线,该直线气体接线必须与相对应的圆形通道相交。然而,供应至圆形通道的气体动量沿着单个方向,及因此来自直线路径的气流在可调谐的气体喷嘴的圆形通道中偏好单个旋转方向。此导致非所欲的不对称及不均匀性。
以下描述的实施例提供完全对称的气体分配,该气体分配对于所有气体输入具有均匀的路径长度及具有于通道平面中无突然的路径反向的气体分配通道,及占据较小的环形面积。以一方式进一步提供至可调谐的气体注入喷嘴的气体供应,该气体供应具有最小的或无方向偏好,在该方式中气体经引入可调谐的气体注入喷嘴的圆形通道中。
参看图1A,等离子体反应器包括圆柱形侧壁102及顶部104围绕的真空腔室100。腔室100内部的工件支撑基座106面向顶部104。顶部104包括具有圆形中心开口110a的环形盖板110及由中心开口110a构造的盘形介电窗112。可调谐的气体注入喷嘴114经由介电窗112的中心面对真空腔室100内部及可调谐的气体注入喷嘴114具有由气体分配中心120供应的内部及外部圆形气体注入通道116、118。内部气体注入通道116为垂直的及引导处理气体至内部气体注入区域中,而外部气体注入通道118为向外成角度的及引导处理气体至外部气体注入区域。气体分配中心120分别供应内部及外部气体注入通道116、118,如本说明书中将随后描述的。
处理气体在气体传输块124处接收及经由环形盖板110内部的上组及下组气体分配通道130、140分配至气体分配中心120的不同端口。上组气体分配通道130(图1A)处于上平面接近环形盖板110的顶表面,同时下组气体分配通道140(图1A)处于下平面接近环形盖板110的底表面。
参看图1B,气体分配中心120具有耦合外部气体注入通道118的第一对相对气体供应端口120-1、120-2及耦合内部气体注入通道116的第二对相对气体供应端口120-3及120-4。覆盖介电窗112的第一对径向气体传输导管150、152分别连接于气体供应端口对120-1、120-2及环形盖板110中的上组气体供应通道130之间。覆盖介电窗112的第二对径向气体传输导管154、156分别连接于气体供应端口对120-3、120-4及环形盖板110中的下组气体供应通道140之间。气体供应端口120-1至120-4围绕在中心120的周围以90度间隔安置。在一个实施例中,四个径向气体传输导管150、152、154、156相对于环形盖板110的周围以90度间隔均匀分配及径向延伸。
气体传输块124从环形盖板110的周围边缘向外延伸,及气体传输块124包括连接至上组气体分配通道130的上部气体入口162及连接至下组气体分配通道140的下部气体入口164。气体传输块124沿着环形盖板110的圆周相对于相邻径向气体传输导管150及154在45度角位置处定位。
图2的俯视图最佳图示上组气体分配通道130,同时图3A的仰视图最佳图示下组气体分配通道140。提供气体通道作为上组及下组气体分配通道130及140避免气体分配通道之间的空间限制或冲突及允许气体分配通道对称地配置为彼此的镜像,产生更大的均匀性。
参看图1A及图1B的上组气体分配通道130在图2中描绘及上组气体分配通道130包括弧形气体供应通道132,该弧形气体供应通道132具有耦合气体传输块124(图4)的上部气体入口162的输入端132-1及耦合图5图示的内部流动转移元件134的出口端132-2。弧形气体供应通道132在弧形气体供应通道的二个端132-1及132-2之间朝向45度弧。包括上部气体入口162的至气体传输块124的连接最佳图示在图4的放大图中。
上组气体分配通道130进一步包括弧形气体供应通道136,该弧形气体供应通道136在一对端136-1、136-2之间朝向180度弧。端136-1及136-2包括轴向气体开口137-1及137-2,该等轴向气体开口137-1及137-2分别耦合至气体导管150及152的径向向外端部150-1及152-1。轴向气体开口137-2图示在图6的放大图中。图5的流动转移元件134提供从气体分配通道132的出口端132-2至弧形气体供应通道136的中间区136-3的连接。
参看图5,流动转移元件134包括在出口端132-2下方的径向转移导管170。轴向输入导管172耦合于气体供应通道132的出口端132-2及径向转移导管170的一端之间。轴向输出导管174耦合于气体供应通道136的中间区136-3及径向转移导管170的另一端之间。轴向输入导管172连接气体供应通道132的出口端132-2的底部中的开口。轴向输出导管174连接气体供应通道136的中间区136-3的底部中的开口。
气体供应通道136在中间区136-3的二侧上具有各自一半,在该气体供应通道136中气流在相对旋转方向中。流动转移元件134解决的问题为假定气体供应通道132中的气流逆时针方向如何在气体分配通道136的各自一半中均匀地分配气流。轴向输入端口172转化来自气体供应通道端132-2的逆时针方向气流动量分配至轴向分配,移除针对特定旋转方向的任何偏好。轴向气体输出端口174赋能轴向气流动量在相对的旋转方向之间于气体分配通道136的各自一半中均匀地分开。在一个实施例中,此提供均匀气体分配。
图1A及图1B中参看的下组气体分配通道140在图3A中描绘及该下组气体分配通道140包括弧形气体供应通道232,该弧形气体供应通道232具有耦合至气体传输块124(图4)的下部气体入口164的输入端232-1及耦合类似于参看图5的上述流动转移元件134的内部流动转移元件234的出口端232-2。弧形气体供应通道232在弧形气体供应通道的二个端232-1及232-2之间朝向45度弧。
下组气体分配通道140进一步包括弧形气体供应通道236,该弧形气体供应通道236在一对端部236-1及236-2之间朝向180度弧。端部236-1及236-2经耦合至轴向气体通道237-1及237-2。轴向气体通道237-1及237-2延伸至环形盖板110的顶表面110b,及轴向气体通道237-1及237-2在图2的俯视图中作为顶表面110b中的开口可见。该等开口分别经耦合至气体导管154及156的径向向外端部154-1及156-1(图1B)。流动转移元件234提供从气体分配通道232的出口端232-2至弧形气体供应通道236的中间区236-3的连接。
参看图3B,流动转移元件234包括出口端232-2下方的径向转移导管270。轴向输入导管272耦合于气体供应通道232的出口端232-2及径向转移导管270的一端之间。轴向输出导管274耦合于气体供应通道236的中间区236-3及径向转移导管270的另一端之间。轴向输入导管272连接气体供应通道232的出口端232-2的底部中的开口。轴向输出导管274连接气体供应通道236的中间区236-3的底部中的开口。
如图2及图3A所图示的,对于实质上相同的气流特征,上组及下组气体通道130、140实质上为彼此的镜像,在一个实施例中提供最佳的均匀性。此配置通过在不同(上及下)平面中安置上组及下组气体通道130、140的促进,避免两组气体通道之间的空间占有率冲突。此后面的特征允许选择气体传输块124的位置而没有来自两组通道之间的可能的空间冲突的限制。通过沿着环形盖板110的圆形周围在偏离气体分配通道136的端136-1大约45度及偏离气体分配通道236的端部236-1大约45度的位置处定位气体传输块124(包括上部及下部气体入口162及164)实现最大对称性。在一个实施例中此特征的优点为上组中的气体供应通道132及下组中的气体供应通道232具有相同长度。对于最佳的均匀性,气体分配通道136及236的路径长度为相同的及上组及下组气体通道130、140的路径长度为相同的。通过提供相等的路径长度至气体轴向气体通道137-1、137-2、237-1及237-2,实现气流速率的均匀分配,允许使用者调整气流速率而不受气体分配通道之间内在的非均匀性影响。
在不同平面中的上组及下组气体通道130、140的配置允许气体通道彼此覆盖,由此减少环形盖板110的环形区域。此特征增加中心开口110a(图1A)的直径,扩大针对给定腔室直径的介电窗112的区域。
现在参看图7、图8及图9,气体分配中心120提供从气体供应端口120-1及120-2至可调谐的喷嘴114的环形外部气体注入通道118的气流路径。气体分配中心120亦提供从气体供应端口120-3及120-4至可调谐的喷嘴114的环形内部气体注入通道116的气流路径。在一个实施例中,内部及外部气体注入通道116、118的每一者可形成完整的圈或环。然而,如图7所描绘的,环形内部气体注入通道116可经划分为四个部分116a、116b、116c及116d,而环形外部气体注入通道118可经划分为四个部分118a、118b、118c及118d。
至气体供应端口120-1的气流在一对径向升高供应接线302及306之间分开,该对径向升高供应接线302及306分别供应轴向下降接线304及308。径向升高供应接线302及306的径向向内端经升高在环形外部气体注入通道118的顶部上方。至气体供应端口120-2的气流在一对径向升高供应接线310及314之间分开,该对径向升高供应接线310及314分别供应轴向下降接线312及316。径向升高供应接线310及314的径向向内端经升高在环形外部气体注入通道118的顶部上方。
四个轴向下降接线304、308、312及316沿着环形外部气体注入通道118终止在四个均匀间隔的位置处。四个轴向下降接线304、308、312及316为狭长封闭的中空线。在一个实施例中,四个轴向下降接线304、308、312及316的每一者为圆柱形的及界定中空中心通道。
至气体供应端口120-3的气流在一对径向升高供应接线318及322之间分开,该对径向升高供应接线318及322分别供应轴向下降接线320及324。径向升高供应接线318及322的径向向内端经升高在环形内部气体注入通道116的顶部上方。至气体供应端口120-4的气流在一对径向升高供应接线326及330之间分开,该对径向升高供应接线326及330分别供应轴向下降接线328及332。径向升高供应接线326及330的径向向内端经升高在环形内部气体注入通道116的顶部上方。四个轴向下降接线320、324、328及332沿着环形内部气体注入通道116终止在四个均匀间隔的位置处。四个轴向下降接线320、324、328及332为狭长封闭的中空线。在一个实施例中,四个轴向下降接线320、324、328及332的每一者为圆柱形的及界定中空中心通道。
图7至图9的实施例解决的问题可参看图7理解。在图7中,显而易见的是,若气体传输接线310、314、318及322没有升高,但替代地直接供应环形内部及外部气体注入通道116及118中相对应的各者,则气流将在每一注入点处主要沿着单个旋转方向。因此,举例而言,从供应接线310至环形外部气体注入通道118的气流将在相交或供应点处为反时针方向。此外,从供应接线314至环形外部气体注入通道118的气流将在相交或供应点处为相对的(顺时针)方向。在此实例中,将有至气体供应接线310及314之间的环形外部气体注入通道118的部分的少量气流,导致不均匀性。
此问题在一个实施例中通过提供轴向下降接线304、308、312及316至环形外部气体注入通道118及提供轴向下降接线320、324、328及332至环形内部气体注入通道116来解决。每一轴向下降接线转化在单个方向(如从升高气体供应管线接收)限制的气流动量分配至一分配,该分配在相对应的环形气体注入通道(116或118)中的注入点处在顺时针方向及逆时针方向之间均匀划分,用于更均匀的气流分配。
尽管上文针对本发明的实施例,然而可设计本发明的其他及进一步实施例,而不脱离本发明的基本范畴,且本发明的范畴由随后的权利要求书决定。

Claims (15)

1.一种用于等离子体反应器的环形盖板,该环形盖板包含:
第一组及第二组气体出口,该第一组及第二组气体出口的每一者中的所述气体出口间隔第一弧长;
气体传输块,该气体传输块包含第一及第二气体供应通道;
第一组及第二组气体分配通道,在各自的上位准及下位准中,该第一组及第二组气体分配通道中的每一者包含:
弧形气体传输通道,该弧形气体传输通道具有连接至一对相对应的所述气体出口的一对端部;以及
弧形气体供应通道,该弧形气体供应通道包含连接至该第一及第二气体供应通道的相对应的一者的输入端,及耦合至该弧形气体传输通道的中间区的输出端。
2.如权利要求1所述的环形盖板,其特征在于,该气体传输块安置在一位置处,该位置偏离所述气体供应通道的每一者的该输出端达第二弧长,以使得该第一组及第二组气体传输通道的所述气体供应通道具有相同长度。
3.如权利要求1所述的环形盖板,其特征在于,该第一组及第二组气体出口的所述气体出口关于该环形盖板的圆周分布,及其中该第一组气体出口与该第二组气体出口沿着该圆周交替。
4.如权利要求1所述的环形盖板,其特征在于,该第一组气体出口包含第一对气体出口及该第一弧长对应于半圆,及该第二组气体出口包含第二对气体出口,该第二对气体出口偏离该第一对气体出口达四分之一圆。
5.如权利要求4所述的环形盖板,其特征在于,该气体传输块安置在一位置处,该位置偏离所述气体供应通道的每一者的该输出端达四分之一圆的弧长。
6.如权利要求1所述的环形盖板,其特征在于,该第一组及第二组气体分配通道的每一者进一步包含流动转移元件,该流动转移元件连接于该气体供应通道的该输出端及该气体传输通道的该中间区之间。
7.如权利要求6所述的环形盖板,其特征在于,该流动转移元件包含:
径向转移导管,相对于该气体供应通道轴向位移;
轴向输入导管,耦合于该气体供应通道的该出口端及该径向转移导管的一端之间;
轴向输出导管,连接于该气体供应通道的该中间区及该径向转移导管的另一端之间。
8.如权利要求7所述的环形盖板,其特征在于,该轴向输入导管连接该气体供应通道的该出口端中的开口,及该轴向输出导管连接该气体供应通道的该中间区中的开口。
9.一种用于等离子体反应室的气体传输系统,该气体传输系统包含:
气体喷嘴,该气体喷嘴包含各自的内部及外部气体注入通道;
环形盖板,该环形盖板界定该气体喷嘴周围的中心开口及该环形盖板包含:
第一组及第二组气体出口,耦合所述内部及外部气体注入通道的各者,该第一组及第二组气体出口的每一者中的所述气体出口间隔第一弧长;
气体传输块,该气体传输块包含第一及第二气体供应通道;
第一组及第二组气体分配通道,在各自的上位准及下位准中,该第一组及第二组气体分配通道中的每一者包含:
弧形气体传输通道,该弧形气体传输通道具有连接至一对相对应的所述气体出口的一对端部;以及
弧形气体供应通道,该弧形气体供应通道包含连接至该第一及第二气体供应通道的相对应一者的输入端及耦合至该弧形气体传输通道的中间区的输出端。
10.如权利要求9所述的气体传输系统,其特征在于,该气体传输块经安置在一位置处,该位置偏离所述气体供应通道的每一者的该输出端达第二弧长,以使得该第一组及第二组气体传输通道的所述气体供应通道具有相同长度。
11.如权利要求9所述的气体传输系统,其特征在于,该第一组及第二组气体出口的所述气体出口关于该环形盖板的圆周分布,及其中该第一组气体出口与该第二组气体出口沿着该圆周交替。
12.如权利要求9所述的气体传输系统,其特征在于,该第一组气体出口包含第一对气体出口及该第一弧长对应于半圆,及该第二组气体出口包含第二对气体出口,该第二对气体出口偏离该第一对气体出口达四分之一圆。
13.如权利要求12所述的气体传输系统,其特征在于,该气体传输块安置在一位置处,该位置偏离所述气体供应通道的每一者的该输出端达四分之一圆的弧长。
14.如权利要求9所述的气体传输系统,其特征在于,该第一组及第二组气体分配通道的每一者进一步包含流动转移元件,该流动转移元件连接于该气体供应通道的该输出端及该气体传输通道的该中间区之间。
15.如权利要求14所述的气体传输系统,其特征在于,该流动转移元件包含:
径向转移导管,相对于该气体供应通道轴向位移;
轴向输入导管,耦合于该气体供应通道的该输出端及该径向转移导管的一端之间;以及
轴向输出导管,连接于该气体供应通道的该中间区及该径向转移导管的另一端之间。
CN201480003017.0A 2013-03-15 2014-02-03 具有高度对称四重式气体注入的等离子体反应器 Active CN104782234B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710469815.8A CN107221487B (zh) 2013-03-15 2014-02-03 具有高度对称四重式气体注入的等离子体反应器

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361789485P 2013-03-15 2013-03-15
US61/789,485 2013-03-15
PCT/US2014/014391 WO2014149200A1 (en) 2013-03-15 2014-02-03 Plasma reactor with highly symmetrical four-fold gas injection

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710469815.8A Division CN107221487B (zh) 2013-03-15 2014-02-03 具有高度对称四重式气体注入的等离子体反应器

Publications (2)

Publication Number Publication Date
CN104782234A true CN104782234A (zh) 2015-07-15
CN104782234B CN104782234B (zh) 2017-07-14

Family

ID=51580581

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710469815.8A Active CN107221487B (zh) 2013-03-15 2014-02-03 具有高度对称四重式气体注入的等离子体反应器
CN201480003017.0A Active CN104782234B (zh) 2013-03-15 2014-02-03 具有高度对称四重式气体注入的等离子体反应器

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710469815.8A Active CN107221487B (zh) 2013-03-15 2014-02-03 具有高度对称四重式气体注入的等离子体反应器

Country Status (6)

Country Link
US (3) US10163606B2 (zh)
JP (3) JP6359627B2 (zh)
KR (1) KR102130061B1 (zh)
CN (2) CN107221487B (zh)
TW (3) TWI703900B (zh)
WO (1) WO2014149200A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107546152A (zh) * 2016-06-24 2018-01-05 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN112437969A (zh) * 2019-02-07 2021-03-02 玛特森技术公司 等离子体处理设备中具有成角度的喷嘴的气体供给装置

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9536710B2 (en) 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
CN104798446B (zh) 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
KR102130061B1 (ko) 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
KR20230170130A (ko) * 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
CN110223904A (zh) * 2019-07-19 2019-09-10 江苏鲁汶仪器有限公司 一种具有法拉第屏蔽装置的等离子体处理系统
US11856706B2 (en) * 2019-12-03 2023-12-26 Applied Materials, Inc. Method and system for improving the operation of semiconductor processing
US11562909B2 (en) 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
KR20220029906A (ko) * 2020-09-02 2022-03-10 에스케이하이닉스 주식회사 기판의 평탄화 장치 및 방법
KR102619579B1 (ko) * 2021-08-11 2023-12-29 주식회사 피에스에스 배기가스 처리를 위한 플라즈마 장치

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020023896A1 (en) * 2000-08-25 2002-02-28 Yuuichi Tachino Plasma etching method and apparatus
US20050178748A1 (en) * 2000-03-17 2005-08-18 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
CN101604624A (zh) * 2008-06-13 2009-12-16 东京毅力科创株式会社 气环、半导体基板处理装置及半导体基板处理方法
US20100132615A1 (en) * 2008-12-02 2010-06-03 Tokyo Electron Limited Film deposition apparatus
CN102034666A (zh) * 2009-10-01 2011-04-27 显示器生产服务株式会社 等离子反应内室的侧部气体喷射器
CN102204415A (zh) * 2008-05-09 2011-09-28 应用材料股份有限公司 用于具流动性的介电质的制造设备及工艺

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6236825A (ja) * 1985-08-12 1987-02-17 Hitachi Ltd ドライエツチング装置
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3178295B2 (ja) 1995-03-29 2001-06-18 株式会社田村電機製作所 カード移し換え装置
JPH0918614A (ja) 1995-06-29 1997-01-17 Canon Inc ファクシミリ装置
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
JPH0982495A (ja) 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6051073A (en) 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6518190B1 (en) 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
EP1252800A1 (de) 2000-02-01 2002-10-30 E.G.O. ELEKTRO-GERÄTEBAU GmbH Elektrisches heizelement und verfahren zu seiner herstellung
US6451161B1 (en) 2000-04-10 2002-09-17 Nano-Architect Research Corporation Method and apparatus for generating high-density uniform plasma
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
TW445540B (en) 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US20020038791A1 (en) 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6899787B2 (en) 2001-06-29 2005-05-31 Alps Electric Co., Ltd. Plasma processing apparatus and plasma processing system with reduced feeding loss, and method for stabilizing the apparatus and system
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
JP4584565B2 (ja) 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3881307B2 (ja) 2002-12-19 2007-02-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100585089B1 (ko) 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
JP2005201686A (ja) 2004-01-13 2005-07-28 Shimadzu Corp ガスクロマトグラフ用炎光光度検出器
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US20060172542A1 (en) 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7976671B2 (en) 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8017029B2 (en) 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
WO2008088110A1 (en) 2007-01-15 2008-07-24 Jehara Corporation Plasma generating apparatus
KR101333112B1 (ko) * 2007-03-29 2013-11-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7879250B2 (en) * 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8062472B2 (en) 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
KR20090102257A (ko) 2008-03-25 2009-09-30 (주)타이닉스 유도결합형 플라즈마 에칭장치
JP5208554B2 (ja) * 2008-03-31 2013-06-12 日本碍子株式会社 Dlc成膜方法
US7987814B2 (en) 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8317970B2 (en) 2008-06-03 2012-11-27 Applied Materials, Inc. Ceiling electrode with process gas dispersers housing plural inductive RF power applicators extending into the plasma
JP2010062318A (ja) 2008-09-03 2010-03-18 Tokyo Electron Ltd ガス供給部材およびプラズマ処理装置
KR101227743B1 (ko) 2008-09-16 2013-01-29 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 배치대
CN203225233U (zh) * 2009-09-10 2013-10-02 朗姆研究公司 一种陶瓷侧气体喷射器
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102762767B (zh) * 2010-03-12 2015-11-25 应用材料公司 具有多重注射道的原子层沉积腔室
JP5567392B2 (ja) 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
JP5723130B2 (ja) 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
US8486242B2 (en) 2010-10-18 2013-07-16 Applied Materials, Inc. Deposition apparatus and methods to reduce deposition asymmetry
JP5800547B2 (ja) 2011-03-29 2015-10-28 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2013033816A (ja) 2011-08-01 2013-02-14 Tokyo Electron Ltd プラズマ処理装置
TWI661746B (zh) 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US20130256271A1 (en) 2012-04-03 2013-10-03 Theodoros Panagopoulos Methods and apparatuses for controlling plasma in a plasma processing chamber
US9082591B2 (en) 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9111722B2 (en) 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9449794B2 (en) * 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US10249470B2 (en) * 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10170279B2 (en) * 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9928987B2 (en) * 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9082590B2 (en) * 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9896769B2 (en) * 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US9536710B2 (en) 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
CN104798446B (zh) 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
KR102130061B1 (ko) * 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
US10553398B2 (en) 2013-09-06 2020-02-04 Applied Materials, Inc. Power deposition control in inductively coupled plasma (ICP) reactors
US9779953B2 (en) 2013-09-25 2017-10-03 Applied Materials, Inc. Electromagnetic dipole for plasma density tuning in a substrate processing chamber

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050178748A1 (en) * 2000-03-17 2005-08-18 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US20020023896A1 (en) * 2000-08-25 2002-02-28 Yuuichi Tachino Plasma etching method and apparatus
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
CN102204415A (zh) * 2008-05-09 2011-09-28 应用材料股份有限公司 用于具流动性的介电质的制造设备及工艺
CN101604624A (zh) * 2008-06-13 2009-12-16 东京毅力科创株式会社 气环、半导体基板处理装置及半导体基板处理方法
US20100132615A1 (en) * 2008-12-02 2010-06-03 Tokyo Electron Limited Film deposition apparatus
CN102034666A (zh) * 2009-10-01 2011-04-27 显示器生产服务株式会社 等离子反应内室的侧部气体喷射器

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107546152A (zh) * 2016-06-24 2018-01-05 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN107546152B (zh) * 2016-06-24 2020-07-24 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN112437969A (zh) * 2019-02-07 2021-03-02 玛特森技术公司 等离子体处理设备中具有成角度的喷嘴的气体供给装置

Also Published As

Publication number Publication date
US10163606B2 (en) 2018-12-25
TW201817287A (zh) 2018-05-01
KR20150129659A (ko) 2015-11-20
US20190122861A1 (en) 2019-04-25
CN104782234B (zh) 2017-07-14
WO2014149200A1 (en) 2014-09-25
JP2018174340A (ja) 2018-11-08
US11728141B2 (en) 2023-08-15
CN107221487A (zh) 2017-09-29
US20220157562A1 (en) 2022-05-19
TW202103520A (zh) 2021-01-16
TWI617222B (zh) 2018-03-01
JP6359627B2 (ja) 2018-07-18
CN107221487B (zh) 2019-06-28
US11244811B2 (en) 2022-02-08
KR102130061B1 (ko) 2020-07-03
US20150371826A1 (en) 2015-12-24
TWI703900B (zh) 2020-09-01
JP2020061563A (ja) 2020-04-16
TW201436650A (zh) 2014-09-16
JP6937354B2 (ja) 2021-09-22
JP6634475B2 (ja) 2020-01-22
JP2016519845A (ja) 2016-07-07
TWI747402B (zh) 2021-11-21

Similar Documents

Publication Publication Date Title
CN104782234A (zh) 具有高度对称四重式气体注入的等离子体反应器
CN109594061B (zh) 用于半导体处理的气体分配喷头
CN101842880B (zh) 气体供给装置、处理装置、处理方法
KR102176189B1 (ko) 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
JP2015161030A (ja) ガス供給マニホールド及びガス供給マニホールドを使用してチャンバにガスを供給する方法
TWI473903B (zh) 應用於半導體設備的噴射器與上蓋板總成
US9741575B2 (en) CVD apparatus with gas delivery ring
CN109385620A (zh) 具有更均匀的边缘净化的基板支撑件
CN104412717A (zh) 具有对称流腔室的对称电感式耦合等离子体源
CN106463344A (zh) 喷头设计
JP2016036018A (ja) プラズマ処理装置及びガス供給部材
CN113755823A (zh) 半导体热处理设备的气体喷射装置及半导体热处理设备
CN117457468B (zh) 工艺腔室及其进气组件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant