CN101463473B - 用于等离子体化学气相沉积反应器的喷淋板电极 - Google Patents

用于等离子体化学气相沉积反应器的喷淋板电极 Download PDF

Info

Publication number
CN101463473B
CN101463473B CN2008101787907A CN200810178790A CN101463473B CN 101463473 B CN101463473 B CN 101463473B CN 2008101787907 A CN2008101787907 A CN 2008101787907A CN 200810178790 A CN200810178790 A CN 200810178790A CN 101463473 B CN101463473 B CN 101463473B
Authority
CN
China
Prior art keywords
shower plate
chamber
hole
diameter
pedestal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008101787907A
Other languages
English (en)
Other versions
CN101463473A (zh
Inventor
R·纳卡诺
H·弗库达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of CN101463473A publication Critical patent/CN101463473A/zh
Application granted granted Critical
Publication of CN101463473B publication Critical patent/CN101463473B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

用于等离子体化学气相沉积(CVD)的方法与装置。特别是,具有清洗功能的等离子体CVD装置具有改进的喷淋板,该喷淋板的孔具有相同的横截面面积以产生高清洗速度。所述喷淋板可以用作电极,并且可以具有连接到电源的导电延伸部。清洗气体和反应气源从中流过的喷淋板可以包括孔加工表面区域,该孔加工表面区域的尺寸与传统用于确保沉积过程期间良好的膜厚均匀性的区域尺寸不同。所述孔加工表面区域可以基于待处理衬底的尺寸或喷淋板的整个表面的尺寸而变化。

Description

用于等离子体化学气相沉积反应器的喷淋板电极
【0001】本发明涉及等离子体化学气相沉积(CVD)的方法与装置。具体地,本发明涉及喷淋板。
背景技术
【0002】一般说来,等离子体处理装置用于形成或移除薄膜或者改良待处理物体的表面。具体地,在半导体晶片(诸如硅或玻璃衬底)上薄膜形成(通过等离子体CVD)或薄膜蚀刻用于制造存储器、半导体器件诸如CPU或液晶显示器(LCD)。
【0003】CVD装置传统上用于在硅或玻璃衬底上形成绝缘薄膜诸如氧化硅(SiO)、氮化硅(SiN)、碳化硅(SiC)和碳氧化硅(SiOC)以及导电薄膜诸如硅化钨(WSi)、氮化钛(TiN)和铝(Al)合金。要形成这些薄膜,含有不同组分的多种反应气体被引入反应室中。在等离子体CVD装置中,诸如通过射频或微波能量把这些反应气体激发成等离子体,并发生化学反应从而在由基座支撑的衬底上形成预期的薄膜。
【0004】为了进入反应室,反应气体可以在起反应以将薄膜沉积于衬底诸如硅晶片上之前,从存储容器流过导管并流过喷淋板。喷淋板具有顶表面和底表面,并且包括从顶表面到底表面延伸通过喷淋板的多个孔。不同气体包括反应气体和清洗气体,在被散布到衬底上之前流过喷淋板孔。喷淋板的用途是将反应气体均匀地散布在衬底表面以促进更加均匀的薄膜沉积。为了促进膜厚均匀性,通常使喷淋板的这些孔在一端收缩,以致孔的入口或气体进入点比出口或气体排出点大。喷淋板还可以用作诸如平行板CVD装置中的电极,以在晶片处理阶段期间在反应室内把气体激发为等离子体。
【0005】在晶片处理期间通过反应室中的等离子体化学反应所生成的产物导致不想要的沉积物积聚在反应室的内壁上以及基座的表面上。由于薄膜形成是重复进行的,因此此类沉积物逐渐积聚在等离子体CVD装置内。随后,沉积物从内壁和基座表面上脱落,漂浮在反应室内。之后,沉积物作为外来物体粘附到衬底上并导致杂质污染,这会给所处理的衬底造成缺陷。
【0006】为了移除这种粘附到反应室的内壁上的不想要的沉积物,使用了等离子体清洗方法。在一种这样的等离子体清洗方法中,清洗气体(诸如NF3)由位于反应室之外(诸如在与反应室隔离的外部放电室内)的射频电源激发为等离子状态。NF3分裂并形成一种活性的氟粒子,所述氟粒子可与不想要的沉积物反应。之后活性的氟粒子被带到反应室内,在这里氟粒子分解并移除粘附到反应室的内壁表面上的外来沉积物。在一个示例中,利用流量可控的NF3清洗气体来移除粘附到反应室的内壁表面上的外来物质导致约1.5μm/min的有效清洗速度。
【0007】在近些年,半导体衬底越来越大并且持续增长。由于衬底尺寸的增长,反应室的容量也提高了,从而导致粘附到反应室壁上的不想要的沉积物的数量的增长。随着需要移除的沉积物的数量的增长,清洗时间趋于增长。由于这一清洗时间的增长,单位时间处理的衬底数量(生产能力)下降了。因此,需要提高反应室的清洗效率以提高生产能力。
发明内容
【0008】一方面,本申请提供一种在处理晶片之后利用远程等离子体放电装置清洗CVD处理腔室的方法。处理后的晶片在腔室中从基底移除。清洗气体被供给远程等离子体放电装置。等离子体能量用来激活远程等离子体放电装置中的清洗气体。之后,被激活的清洗气体被传送到处理室内并且通过面向基座的喷淋板的多个孔。这些孔完全延伸通过喷淋板并且每个孔具有相同的横截面面积。具有所有孔的喷淋板的最小圆形区域的直径是晶片的表面区域的直径的0.95至1.05倍。
【0009】另一方面,本申请提供一种对处理室中的衬底进行处理的方法。衬底被放置在腔室的基座上。之后,反应气体通过面向基座的喷淋板的多个孔供给到腔室内。这些孔完全延伸通过喷淋板,并且每个孔具有相同的横截面面积。具有所有孔的喷淋板的最小圆形区域的直径是衬底的一侧的直径的0.95至1.05倍。
【0010】本申请的另一方面包括具有等离子体CVD反应室的等离子体CVD装置。用于支撑衬底的基座被布置在反应室内并且设置为用作第一电极以产生等离子体。用作第二电极以产生等离子体的喷淋板面向基座并且具有通过喷淋板延伸的多个孔,每个孔具有相同的横截面面积。具有所有孔的喷淋板的最小圆形区域的直径是可适配在基座的受限结构内的最大可能衬底的直径的0.95至1.05倍。喷淋板电子连接到一个或多个电源。
【0011】另一方面,用于等离子体CVD装置的喷淋板包括具有导电延伸部的极板,该延伸部设置为连接到电源从而使极板用作电极。该极板包括通过该极板延伸的多个孔,并且每个孔具有相同的横截面面积。
【0012】虽然已经描述了本申请的某些实施例,但是本领域技术人员应当理解可以进行形式和细节上的改变而不偏离本发明的精神和范围。因此,本发明不受发明内容部分描述的具体形式和细节的限制。
【0013】本领域技术人员应当明白,可以对描述的方法和装置作各种删除、添加以及更改而不偏离本发明的范围,并且所有这种更改和改变都属于本发明的范围。
附图说明
【0014】本文描述的各种装置、系统和方法的这些及其它特征、方面与优点是参照某些实施例的附图进行描述的,其意在图解说明但不受限于这些装置、系统和方法。附图包括11个图。应当理解使用附图的目的是图解说明本文所讨论的实施例的概念,并非依比例绘制。
【0015】图1是根据本申请的一个实施例的等离子体CVD装置的示意图。
【0016】图2A是图解说明极板中孔的形状的传统喷淋板的垂直截面图。
【0017】图2B是根据本申请的一个实施例的喷淋板的垂直截面图。
【0018】图3A是根据本申请的一个实施例的喷淋板的俯视图和侧视截面图。
【0019】图3B是根据本申请的一个实施例的螺旋图案的喷淋板孔的俯视图。
【0020】图4是清洗速度与膜厚均匀性相对喷淋板的孔加工区域的直径之间的关系图。
【0021】图5是本申请的一个实施例的反应室的内部的侧视图。
【0022】图6A是示出了硅酸四乙酯(TEOS)和氧化反应针对利用传统喷淋板的一个实验和利用本申请喷淋板的三个不同实验的沉积条件的图表。
【0023】图6B是将清洗速度和由图6A所示沉积条件所产生的沉积膜厚均匀性进行对比的图表。
【0024】图7A是传统等离子体CVD反应室的上部的侧视图,图解说明寄生等离子体的存在。
【0025】图7B是根据本申请的一实施例的等离子体CVD反应室的上部的侧视图。
【0026】图8是示出当根据本发明的一个实施例使用带有传统陶瓷导管的传统喷淋板、带有传统陶瓷导管的发明喷淋板和以及带有长陶瓷导管的发明喷淋板时在晶片处理期间基于反应室压力和高RF功率的组合所产生的寄生等离子体的存在或不存在的图形。
具体实施方式
【0027】本申请涉及具有用于远程激活清洗气体的远程等离子体发生器的等离子体化学气相沉积(CVD)装置。更具体地,本申请涉及一种新的喷淋板,其具有改进的、横截面面积相同的孔以提高反应器清洗速度,从而提高生产能力。
【0028】在平行板等离子体CVD装置中,喷淋板用作反应气体中原位或现场(in situ)等离子体产生的上电极。通过修改喷淋板的孔(包括孔的尺寸),可以实现反应器清洗速度的提高。而且,“孔加工区域”的尺寸的精心选择结合修改的孔也出乎意料地导致晶片处理期间沉积的薄膜的均匀性得到改善,并且在一些情形下提高了清洗速度。如本文使用的,孔加工区域表示围绕喷淋板的所有孔的最小圆形区域。通过利用平行板CVD装置的远程等离子体清洗进行实验,将发现以上改善以及下文描述的其它改善。特别地,这些实验是利用日本东京ASM Japn K.K.出售的ASMI 
Figure G2008101787907D00041
 12等离子体CVD装置对300mm衬底进行的。为便于参考,ASMI 
Figure G2008101787907D00051
 12等离子体CVD装置在2007年4月6日提出的美国专利公开号2007-0248767A1中进行了描述。
【0029】如上所提及的,一种传统的装置(参见美国专利号6,736,147)实现了约1.5μm/min的清洗速度。但是,随着反应室由于晶片尺寸的增长而变大,应当提高清洗速度以确保较高的生产能力。本申请的实施例通过修改喷淋板的孔以使得它们具有相同的横截面面积(优选如使用钻头所产生的那样为圆形的横截面),提高清洗速度。
【0030】本申请的实施例提供一种实现以高腔室清洗速度移除不想要沉积物的清洗功能的等离子体CVD装置和一种用于实现这种清洗而不管待处理晶片或反应室的尺寸的方法。通过具有高的处理室清洗速度减少了反应器停修时间并提高了装置的生产能力。
【0031】本申请的实施例提供了一种具有横截面面积相同的孔的改进喷淋板,在平行板CVD装置中该喷淋板优选用作上电极,而基座优选用作下电极。在一些实施例中,通向电源的导电延伸部连接到喷淋板。该电源可以由例如可使喷淋板用作电极的射频(RF)电源或一组高低射频电源来提供。
【0032】本申请的实施例提供一种具有改进喷淋板的等离子体CVD装置,该装置便于以高腔室清洗速度进行自我清洗但不会显著牺牲在晶片处理阶段期间沉积的膜厚均匀性。本申请的目标是确保在某些实施例中,对传统等离子体CVD装置的所有改进满足工业制造均匀性标准。
【0033】为了达到上述目标,在一实施例中,本申请提供了一种等离子体CVD装置,其包括(i)反应室;(ii)用于在其上放置衬底的基座,所述基座布置在反应室内并且构成用于产生原位等离子体的两个电极中的一个电极;(iii)用于使处理室内的反应气体或清洗气体放电的喷淋板,所述喷淋板与基座平行布置并且构成用于产生等离子体的另一电极;和(iv)电连接到喷淋板的电源(例如,射频)。通过改进喷淋板的特征即改进从板的底部延伸到顶表面的喷淋板的这些孔,可以实现更高的清洗速度。在一个实施例中,喷淋板具有竖直的、相同的通孔,这些通孔实现了比具有受限孔的传统喷淋板更高的清洗速度。例如,一种特定的传统喷淋板具有直径为1.0mm的孔,而这些孔在板的底表面收缩了0.5mm(如图2A所示)。通过修改喷淋板中使用的孔以使得它们是竖直的并具有相同的横截面面积,反应室可以具有大于2200nm/min的清洗速度。例如,在一个实施例中,喷淋板具有直径相同的孔(例如,1.0mm)。
【0034】在上文中,为了防止在喷淋板上方形成的所谓寄生等离子体(异常等离子体)流过喷淋板以及干扰沉积处理,等离子体CVD装置可以进一步包括安装到处理室的顶壁的陶瓷导管(反应物和清洗气体可以流过该导管),该导管的长度大于35mm。这种导管的意义在下文中解释。
【0035】在一个实施例中,为了防止膜厚均匀性由于把这些孔改变为具有均匀的横截面面积而降低,喷淋板的孔加工区域也被改变。在进行以上提到的实验中,意想不到的发现是通过减小孔加工区域(该区域传统上表面积约大18.1%,直径约大8.7%)的尺寸,膜厚均匀性得到改善。在一个实施例中,处理室的喷淋板的孔加工区域直径是待处理的衬底一侧的直径的0.95到1.05倍。这对应于圆形孔加工区域是待处理的衬底一侧的面积的0.90到1.10倍。孔加工表面积与衬底一侧的表面积的比率不仅与沉积在衬底上的薄膜的膜厚均匀性有关,而且它也会影响清洗速度。意想不到的发现是减小孔加工区域可以显著改善清洗速度。为了进一步确保良好的膜厚均匀性,在另一实施例中,喷淋板的改良孔沿着喷淋板的表面排列为螺旋图案。
【0036】图1图解说明了根据一个实施例的具有远程等离子体清洗装置的平行板等离子体增强CVD(PECVD)装置180。应当理解可以使用可替代的等离子体CVD装置。等离子体CVD装置180可用于形成或移除薄膜,或用于对衬底1的表面加以改性。等离子体CVD装置180包括反应室102,该反应室102包围用于在其上放置衬底1(诸如玻璃或硅衬底)的基座105。在反应室102的一侧壁上是排气口125。在平行板CVD装置中,基座105用作下电极。基座105可由陶瓷制品或铝合金或通常用于支撑衬底的任何其它材料制成。如果基座105要用作原位等离子体产生的电极,则应当理解使用的材料必须与电极的导电功能一致。在这种情形下,基座105优选电接地。在一些实施例中,用于加热基座105和衬底1的电阻器加热装置嵌入在基座105内。在其它实施例中,辐射热灯用来加热基座105和衬底1。应当理解可以采用不同类型的加热装置及其组合,而且加热的特定模式并非本发明的关键。
【0037】在基座105对面且面向基座105的位置是具有多个孔的喷淋板120,这些孔从喷淋板底表面到其顶表面延伸通过喷淋板。喷淋板120可以由铝或铝合金或其它适当的金属制成。在一个实施例中,喷淋板120具有与基座105的上表面基本平行的平坦底表面。在其它实施例中,喷淋板120的底表面可以是弯曲的或者是平坦表面和弯曲表面的组合。喷淋板120优选作为用于与下电极(诸如基座105)协作的上电极,以从反应气体中产生原位等离子体。平板120优选被设置为使反应气体在衬底上沉积大体均匀的薄膜,这样意味着这些孔被排列在基座105上所支撑的衬底1的整个水平面。在喷淋板120的上侧,可以放置冷风机142以预防喷淋板120的温度变化。
【0038】为了产生等离子体,电源122和124(例如,射频)通过匹配电路128电连接到喷淋板120,匹配电路128通过同轴RF电缆175连接到电源122和124。在一些实施例中,这些电源122和124通过提供几百kHZ到几十MHZ的频率,产生等离子体。尽管电源122和124可以具有相同的频率,但是在优选实施例中电源具有不同的频率,一个高的一个低的,以改善晶片处理中薄膜质量的可控性。本领域技术人员还将理解可以使用射频电源以外的其它电源,诸如微波电源。
【0039】用于晶片处理的反应气体可以存储在单独的容器中并且可以通过导管比如沉积气体输送管133提供给喷淋板120。在图解说明的实施例中,在到达喷淋板120之前,反应气体经过用于将气体均匀散布在喷淋板120上的缓冲板138。经过缓冲板138后,反应气体流过喷淋板120的孔并进入处理室102的中央区域148。一旦进入处理室102,反应气体经由电源122和124而被激发为等离子状态,引起化学反应使得在衬底表面上沉积薄膜。由等离子体反应室所生成的产物还积聚在反应室102的内壁上以及基座105和喷淋板120的表面上,必须定期进行清洗以确保不想要的沉积物不会污染所处理的衬底。
【0040】尽管各种反应气体可用于本发明的晶片处理,但是以上提到的实验使用正硅酸乙酯或相当于硅酸四乙酯(TEOS)和氧气(O2)以在硅衬底上形成TEOS氧化膜。TEOS通常和氧气(O2)一起使用以在衬底上形成氧化层。这种工艺的典型条件是:TEOS流速为250sccm,氧气流速为2.3slm,上电极120和下电极105之间的距离为10mm,反应室压强为400Pa,高射频功率(13.56MHz)为600W而低射频功率(430kHz)为400W,基座105温度为360℃,喷淋板120温度为150℃,以及处理室102内壁温度为140℃。
【0041】继续参见图1,反应气体和/或清洗气体可以流过的导管131从反应室102的上开口延伸。导管131可以由金属比如铝构成,并且可以连接到绝缘阀135和第二导管136。第二导管位于喷淋板120上方并且可由包括陶瓷材料的绝缘材料构成。远程等离子体放电装置140连接到第二导管诸如清洗气体输送管151。清洗气体可以从清洗气体源170中输送且可以通过清洗气体输送管151传送到远程等离子体放电装置140内。尽管可以使用各种清洗气体,但是在一个实施例中清洗气体包括混有惰性运载气体或氧气的含氟气体,诸如C2F6+O2、NF3+Ar或F2+Ar。在远程等离子体放电装置140内,等离子体能量激活清洗气体,产生经过导管131和喷淋板120流入处理室102的活性清洗粒子。活性清洗气体粒子与粘附到处理室102的内壁和喷淋板120的表面上的不想要的沉积物发生化学反应。这导致不想要的沉积物气化,之后从处理室的排气口125排出,并利用真空泵通过流导调整阀155。
【0042】图2A和2B图解说明反应气体和清洗气体进入反应室之前流过的喷淋板孔。这些孔优选加工到喷淋板内并占据喷淋板的一块区域,这在本文中称为“孔加工区域”。图2A图解说明现有技术中使用的传统孔,而图2B图解说明本发明的改进孔的一个实施例。
【0043】图2A图解说明具有不同尺寸的入口212和出口214的传统孔208。如图2A所示,入口直径比出口直径214大2:1比率,其中入口直径为1.0mm,而出口直径为0.5mm。已经发现这些具有不同入口和出口直径的传统孔提高了沉积膜厚均匀性。例如,在TEOS和O2用作反应气体以在衬底上沉积TEOS氧化物所进行的实验中,使用传统孔208的膜厚均匀性约为±1.8%,这优于工业制造中要求的典型均匀性(±3.0%)。但是,使用传统孔会造成清洗过程期间反应器清洗速度只有约1.40μm/min。
【0044】图2B图解说明本申请的喷淋板孔220的一个实施例。图解说明的喷淋板孔220沿着其长度具有均匀的截面形状,或者在圆孔的情形下具有均匀的直径。这些改进的喷淋板孔220优选是竖直的且垂直定向的,并且从喷淋板的底表面延伸到顶表面。这些孔220彼此间隔开的距离可以在2mm到5mm之间。喷淋板孔220每个可以具有0.5mm到1.0mm之间的均匀直径,尽管其它尺寸也可以。在优选实施例中,如图2B所示,更改的孔220具有1.0mm的均匀直径。
【0045】采用具有均匀直径的喷淋板孔,清洗速度与传统的喷淋板相比得以提高。例如,使用图2A的传统孔208已发现清洗速度约为1.40μm/min,而类似条件下使用图2B的改善的孔220发现清洗速度约为2.36μm/min。在一些实施例中,与此示例类似,清洗速度超过2.20μm/min。使用均匀直径孔220的另一个好处是节约更多的成本,因为均匀的直径孔相对于具有两种不同直径的传统孔208更易于加工。
【0046】更改的、均匀的直径孔所获得的较高清洗速度可以通过化学反应期间Arrhenius反应速度与温度之间的关系来解释。Arrhenius反应速度与温度之间的关系可以由以下公式来表示:k=A exp(-E/RT),其中k是速度常数,A是频率因子,E是激活能量,R是气体常数,而T是绝对温度。对本申请来说,k表示清洗速度,而A主要依赖于氟自由基(F*)的分压。该公式表示提高A和T将产生较高的清洗速度k。一种提高A的方式是增加的活性氟自由基的数目,这将提高清洗速度。
【0047】已发现,氟自由基F*的分压的增大可以通过增加经过喷淋板的气体流导来实现。在如图2A所示的孔直径减少的传统喷淋板中,流导降低了。这是因为在活性氟自由基和孔的内壁之间由于壁的有限直径而发生了很多碰撞,导致活性氟自由基从活性的F*去活化为非活性的F2。由于非活性的氟成分不会与不想要的薄膜沉积物有效地进行化学反应,所以清洗速度降低了。因此,改进喷淋板使其具有均匀横截面通孔减少了活性氟自由基和内部孔壁之间碰撞的次数,这就造成与传统喷淋板相比被去活化的氟自由基的数目减少以及腔室清洗速度增加。
【0048】尽管与传统孔208相比提供更改的孔220导致清洗速度的增加,但是也会造成所沉积膜的厚度均匀性降到工业制造标准以下,这就是使用传统受限孔208的原因。传统上,对于处理300mm晶片来说,孔加工区域的直径约为326mm的喷淋板已经被使用。在使用TEOS和O2作为反应气体的实验中以及在使用图2B中的更改孔220的实验中,沉积的TEOS氧化物的膜厚均匀性为±3.41%,这比使用传统孔208时糟糕得多。这种均匀性也比工业制造中要求的典型均匀性(±3.0%)更差。因此,如果所降低的膜均匀性可以增加到满足工业制造标准,那么采用具有大小均匀通孔220的高清洗速度的好处才能得以保持。在这一点上,可以看到改变喷淋板的孔加工区域的尺寸可以改善膜厚均匀性而不牺牲高清洗速度的好处。在一些实施例中,将孔加工区域的直径的尺寸降低到传统尺寸(约326mm)以下也导致甚至更高的清洗速度。
【0049】图3A通过俯视图和侧视截面图的方式图解说明本申请的喷淋板120的一个实施例,该板具有精心挑选的孔加工区域尺寸。尽管孔加工区域可以具有各种形状,但是鉴于商用晶片同样为圆形的情况,孔加工区域优选为包围所有孔220(图2B)的圆形区域302。在优选实施例中,孔加工区域302是包围所有孔220的最小圆形区域。所做的实验表明通过改变与衬底表面的区域有关的孔加工区域的尺寸,可以保持满足工业标准的沉积厚度均匀性。不改变孔加工区域的尺寸而仅改变孔以使得孔具有均匀的横截面面积将导致更大的清洗速度,但是膜厚均匀性会降低。因此,孔加工区域的尺寸与衬底一侧的尺寸的比值优选处于某一范围内。在图解说明的实施例中,喷淋板120不完全是平的而是具有凸起的垂直肩部356,所述肩部356带有限定凹槽361的内部垂直壁355。在一个实施例中,限定凹槽的内部垂直壁355的直径为350mm。
【0050】孔加工区域302只包括一部分喷淋板的大小,改喷淋板的边界示为310。未被孔加工区域302占据的喷淋板的区域没有供气体流过的孔。包括肩部356的孔加工区域302周围的区域被指定为312。
【0051】图3B图解说明图3A的更改喷淋板的孔220布置的一个实施例,其中这些孔在喷淋板的表面上形成螺旋图案323。与非螺旋图案相比,螺旋图案323通过相对其它图案确保更均匀的膜厚沉积而提供了改进。但是,应当理解可以使用具有各种图案的喷淋板(螺旋的或非螺旋的)并且仍然获得满足工业制造标准的厚度均匀性。
【0052】图4是针对300mm晶片、反应器清洗速度和所沉积膜厚均匀性与圆形孔加工区域302(图3A)的关系图,孔加工区域302的孔220(图2B)具有均匀的1.0mm直径。为便于参考,图4还示出了对于传统尺寸的孔加工区域302采用传统孔208(图2A)所获得的清洗速度和膜厚均匀性。传统孔加工区域302的直径约为326mm。
【0053】图4图解说明了利用具有位于直径约为326mm的孔加工区域中的传统孔的喷淋板并且在不更改孔加工区域的情况下转变为直径1.0mm均匀孔的问题。在这种情形下,尽管清洗速度从约1.4μm/min增长到2.4μm/min,但是膜厚均匀性不期望地从约±2%增加到大于±3%,这根据工业制造标准是不可接受的。通过减小孔加工区域,如图4所示,发现了膜厚均匀性问题的意外解决方案。还意外地发现了通过减小孔加工区域以及利用竖直的、均匀的直径通孔实际可提高清洗速度。
【0054】图4的图形示出了如何测试具有各种直径(270、290、300和310mm)的孔加工区域以确定最佳的直径范围从而获得高清洗速度和令人满意的薄膜均匀性,该薄膜均匀性小于±3.0%甚至更优选小于±2.0%。如图4所示,可以发现直径在285mm到310mm之间的孔加工区域导致极高的反应器清洗速度(比传统喷淋板达到的清洗速度更大),以及低于±3.0%的良好膜厚均匀性。更具体地,可以发现直径为300mm的孔加工区域产生优于传统喷淋板的很高的清洗速度(约2.9μm/min)以及很好的沉积均匀性(小于±2.0%)。
【0055】尽管对于被设置为处理300mm衬底的基座来说,发现优选孔加工区域的直径范围在285mm到310mm之间,但是其它孔加工区域直径可用于其它尺寸的衬底。特别是,已经发现孔加工区域的直径为衬底直径的约0.95到1.05倍之间会产生很高的清洗速度和很好的沉积膜厚均匀性。在优选实施例中,孔加工区域的直径是衬底的直径的0.977到1.027倍。因此,当处理300mm衬底时,孔加工区域302的直径可以在285mm到315mm之间,且更优选地,在293.1mm到308.1mm之间。为了处理450mm的衬底,孔加工区域302的直径可以在427.5mm到472.5mm之间,更优选在439.7mm到462.2mm之间。为了处理200mm的衬底,孔加工区域302的直径可以在190mm到210mm之间,更优选在195.4mm到205.4mm之间。
【0056】图5图解说明了根据一个实施例具有基座430、位于基座上的晶片422和改进的喷淋板120的反应室400的内部。基座430可以有各种形状和尺寸。在一个实施例中,如图5所示,基座430包括衬底限制结构,诸如对晶片422紧密装配在其中的凹处或凹槽438进行限定的环形肩部或壁431。凹槽438的直径也可以根据基座430被设计用以支撑的晶片422的尺寸而变化。在另一实施例中,基座430可以是平坦的且没有凹槽。图5还图解说明孔加工区域103的表面区域411和晶片422的一侧的表面区域423。在一个实施例中,孔加工区域103的圆形表面区域411的直径是可装配在凹处438中的最大可能衬底一侧的圆形表面区域423的直径的0.95到1.05倍之间。在优选实施例中,孔加工区域103的圆形表面区域411的直径是可以装配在凹处438中的最大可能衬底一侧的表面区域423的直径的0.977到1.027倍之间。
【0057】图6A和6B是示出实验的条件与结果的相关图表,示出了由(1)具有图2A所示的孔208和326mm的孔加工区域直径的传统喷淋板和(2)具有图2B所示的孔220和300mm的孔加工区域直径的本发明一实施例的改进喷淋板所获得的清洗速度和沉积膜厚均匀性。这些实验是针对300mm的衬底进行的。在这些实验中,在利用TEOS和O2沉积1μm氧化硅薄膜之后,反应室用NF3和Ar来清洗。反应室清洗在以下条件下进行:NF3流速为2.2slm,Ar流速为5slm,上电极和下电极之间的距离为14mm,反应室压强为1000Pa,远程等离子体放电装置功率为2.7kW,基座温度为360℃,喷淋板温度为150℃,反应室内壁温度为140℃。在这些条件下,反应室的清洗大约进行43秒。
【0058】图6A是表明将反应气源TEOS和O2引入反应室以形成TEOS氧化膜的实验条件的图表。此反应利用传统喷淋板执行(第1行),以及利用改进的喷淋板在三个不同条件下执行(第2-4行)。可调变量包括反应气体的流速、腔室压强(“Pressure”)、高射频功率(“HRF”)、低射频功率(“LRF”)、反应室中上电极与下电极之间的距离(“Gap”)、基座温度(“SUS”)、腔室壁温度(“WALL”)和喷淋板温度(“SHD”)。如图6A的第2行所示,TEOS利用改进的喷淋板被引入到反应室的第一条件在所有方面与利用传统喷淋板的运行相同(例如,相同的反应物流速、压强、温度和射频能量水平)。在第二条件下(第3行),TEOS和O2气源的流速相对第一条件下降低了10%以减少气体消耗。在第三条件下(第4行),保持降低的气源流速以减少气体消耗,并调整高射频和低射频功率水平(HRF和LRF)。通过调整射频功率,产生的膜应力几乎与传统条件下的膜应力相同(如图6B所示)。
【0059】图6B是示出了在300mm晶片上利用如图6A所述的传统喷淋板以及三种条件下的改进喷淋板所获得的清洗速度和沉积膜厚均匀性的图表。在所有三个条件下,改进的喷淋板相对传统喷淋板产生较快的沉积速度和更高的反应器清洗速度。而且,具有减小的孔加工区域直径的改进喷淋板相对于传统喷淋板也表现出改进的膜厚均匀性,每个实例小于或等于1.5%。
【0060】如以上所述,可以通过改变喷淋板使其具有相同横截面比如相同直径(例如,1mm)的孔来达到高清洗速度。当使用横截面相同的孔的改进喷淋板代替传统喷淋板时,除了膜厚均匀性降低的问题(这可以通过将孔加工区域减小到适当直径来改善)之外,还出现了涉及寄生等离子体或异常等离子体的附加问题。该问题在图7A中进行了图解说明并且在下文中进行讨论。
【0061】图7A示出了具有本发明的喷淋板120和连接到上述喷淋板的传统30mm陶瓷导管430的CVD装置425的上部。导管430的上部连接到铝导管480,铝导管480进一步连接到绝缘阀495。在反应气体传送到反应室内并且被激活成原位等离子体的处理阶段期间,正常的沉积等离子体450在喷淋板120下面形成,而寄生等离子体466形成在喷淋板120上方的导管430中以及喷淋板和反应室的顶表面之间限定的水平空间(plenum)中。尽管寄生等离子体出现在具有带不相同孔(诸如图2A所示的孔208)的传统喷淋板的CVD反应器中,但寄生等离子体466的数量通常处于不会对反应室中薄膜沉积产生不利影响的可容忍水平。但是,通过改变喷淋板使其孔具有更大的直径(诸如图2B的孔220),寄生等离子体466的数目趋于增大,这是在晶片处理过程中不希望发生的。
【0062】一种对由改进的喷淋板产生的寄生等离子体的增加进行补救的方式是改进传统系统中使用的导管430。图7B图解说明具有改进导管442的CVD装置430的上部的特写镜头,改进导管442由陶瓷材料构成并安装在喷淋板120的上方。陶瓷导管442比传统导管430长。当使用较长的陶瓷导管时,RF地和喷淋板的上部(RF加载部分)之间的距离被增大,使得电场的强度降低,从而导致在喷淋板120的上方产生较少的寄生等离子体。改进的陶瓷导管442的长度优选比传统CVD装置中使用的导管430的长度大,通常为约30mm。但是,在一实施例中改进的陶瓷导管442大于35mm,更优选大于45mm,并且在一个特定实施例中,约为55mm以确保即使使用竖直的、尺寸相同的孔,寄生等离子体的风险也是很低的。
【0063】图8是图解说明当使用(1)具有孔208(图2A)的传统喷淋板和传统陶瓷导管、(2)具有孔220(图2B)的本发明的一个实施例的喷淋板和传统陶瓷导管和(3)具有孔220(图2B)的本发明的一个实施例的喷淋板和图7B中所示的较长陶瓷导管时、在某些条件即反应室压强(竖直轴)和高射频(HRF)功率(水平轴)的各种组合下晶片处理期间发生的寄生等离子体存在或不存在的图形。如图所示,较长导管的使用大大降低了晶片处理期间发生的寄生等离子体的存在率,以使得可能在与使用传统的长度更短的陶瓷导管相比更低的处理室压强(例如,200Pa)和更高的HRF水平(例如,700W)下执行沉积处理。
【0064】可以对本发明作各种修改与变更而不偏离本发明的范围或精神对于本领域技术人员来说是显而易见的。因此,本发明覆盖属于所附权利要求书或其等价物的范围内的对本发明的修改与变更。

Claims (11)

1.一种处理晶片后利用远程等离子体放电装置清洗化学气相沉积处理腔室的方法,所述方法包括:
从所述腔室中的基座中移除经处理的晶片;
将清洗气体供给到远程等离子体放电装置;
利用等离子体能量激活远程等离子体放电装置中的所述清洗气体;
将激活后的清洗气体传送到所述腔室内并且通过面向所述基座的喷淋板的多个孔,所述孔延伸完全通过所述喷淋板,所述孔每个具有相同的横截面面积,其中具有所有所述孔的所述喷淋板的最小圆形区域的直径是所述晶片的直径的0.95到1.05倍,其中所述清洗气体流过安装在通向所述喷淋板的入口上方的陶瓷导管,所述陶瓷导管具有大于35mm的长度;以及
在晶片处理阶段期间,将反应气体供给到所述腔室并且通过所述喷淋板的孔,其中所述喷淋板用作电极以将所述反应气体在所述腔室内激发成等离子体,其中所述反应气体流过所述陶瓷导管。
2.根据权利要求1所述的方法,进一步包括:
允许所述清洗气体与所述腔室的表面上的薄膜沉积物反应以及从所述腔室的所述表面移除所述薄膜沉积物;以及
通过所述腔室的出口端排放所述薄膜沉积物。
3.根据权利要求1所述的方法,其中所述清洗气体以大于2200nm/min的速度从所述腔室的表面移除薄膜沉积物。
4.一种对腔室中的衬底进行处理的方法,其包括:
将所述衬底放置在所述腔室的基座上;以及
将反应气体供给到所述腔室中并通过面向所述基座的喷淋板的多个孔,所述孔延伸完全通过所述喷淋板,所述孔每个具有相同的横截面面积,其中具有所有所述孔的所述喷淋板的最小圆形区域的直径是所述衬底的直径的0.95到1.05倍,其中所述喷淋板用作电极以将所述反应气体在所述腔室内激发成等离子体,其中所述反应气体流过安装在通向所述喷淋板的入口上方的陶瓷导管,所述陶瓷导管具有大于35mm的长度。
5.一种等离子体化学气相沉积装置,包括:
等离子体化学气相沉积反应室;
用于支撑其上的衬底的基座,所述基座布置在所述反应室内并且设置成用作第一电极以产生等离子体;
用作第二电极以产生所述等离子体的喷淋板,所述喷淋板面向所述基座并且具有延伸通过所述喷淋板的多个孔,所述孔每个具有相同的横截面面积,其中具有所有所述孔的所述喷淋板的最小圆形面积的直径是可装配在所述基座的限制结构内的最大可能衬底的直径的0.95到1.05倍;
电连接到所述喷淋板的一个或多个电源;以及
安装在通向所述喷淋板的入口上方的陶瓷导管,所述陶瓷导管具有大于35mm的长度。
6.根据权利要求5所述的装置,其中所述限制结构包括用于支持衬底的凹处的环形壁。
7.根据权利要求5所述的装置,其中所述喷淋板具有导电延伸部,该导电延伸部被构造成连接到所述一个或多个电源以便使得所述喷淋板能够用作电极。
8.根据权利要求5所述的装置,其中所述孔沿着所述喷淋板的侧面形成螺旋图案。
9.根据权利要求5所述的装置,其中所述板的所述最小圆形面积的直径在285到310mm之间。
10.根据权利要求5所述的装置,其中所述板的所述最小圆形面积的直径在190到210mm之间。
11.根据权利要求5所述的装置,其中所述板的所述最小圆形面积的直径在427.5到472.5mm之间。
CN2008101787907A 2007-12-18 2008-12-01 用于等离子体化学气相沉积反应器的喷淋板电极 Active CN101463473B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/959,410 US20090155488A1 (en) 2007-12-18 2007-12-18 Shower plate electrode for plasma cvd reactor
US11/959,410 2007-12-18

Publications (2)

Publication Number Publication Date
CN101463473A CN101463473A (zh) 2009-06-24
CN101463473B true CN101463473B (zh) 2012-07-25

Family

ID=40753631

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101787907A Active CN101463473B (zh) 2007-12-18 2008-12-01 用于等离子体化学气相沉积反应器的喷淋板电极

Country Status (5)

Country Link
US (1) US20090155488A1 (zh)
JP (1) JP5274229B2 (zh)
KR (1) KR101563727B1 (zh)
CN (1) CN101463473B (zh)
TW (1) TWI434334B (zh)

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
JP5494108B2 (ja) * 2010-03-26 2014-05-14 セイコーエプソン株式会社 容量性負荷駆動装置、液体噴射装置および印刷装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8832916B2 (en) * 2011-07-12 2014-09-16 Lam Research Corporation Methods of dechucking and system thereof
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102593260A (zh) * 2012-03-13 2012-07-18 常州比太科技有限公司 用等离子激发形成氮化硅膜的方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI649777B (zh) * 2014-03-31 2019-02-01 日商Spp科技股份有限公司 電漿處理裝置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10629415B2 (en) 2017-03-28 2020-04-21 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrate
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7191558B2 (ja) * 2018-06-29 2022-12-19 株式会社アルバック 成膜装置および成膜方法、クリーニング方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7224175B2 (ja) * 2018-12-26 2023-02-17 東京エレクトロン株式会社 成膜装置及び方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas
CN114774887A (zh) * 2022-06-22 2022-07-22 拓荆科技(北京)有限公司 气体传输装置、方法和半导体沉积设备
CN115613009A (zh) * 2022-11-03 2023-01-17 江苏微导纳米科技股份有限公司 原子层沉积设备
CN117947404B (zh) * 2024-03-27 2024-05-28 苏州辉钻纳米新材料有限公司 一种基于pecvd的燃料电池金属极板碳基涂层制备装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3836182A (en) * 1970-08-07 1974-09-17 Owens Illinois Inc Pipe coupling system for glass or ceramic pipes and related materials
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5858477A (en) * 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
US6334983B1 (en) * 1997-04-11 2002-01-01 Tokyo Electron Limited Processing system
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
KR100507753B1 (ko) * 2000-03-17 2005-08-10 가부시키가이샤 히타치세이사쿠쇼 반도체 제조방법 및 제조장치
JP2001342570A (ja) * 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
JP4218360B2 (ja) * 2002-04-24 2009-02-04 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
JP4572100B2 (ja) * 2004-09-28 2010-10-27 日本エー・エス・エム株式会社 プラズマ処理装置
US7618515B2 (en) * 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US20060151002A1 (en) * 2004-12-22 2006-07-13 Devendra Kumar Method of CVD chamber cleaning
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US7581765B2 (en) * 2005-11-17 2009-09-01 Air Products And Chemicals, Inc. Seal assembly for materials with different coefficients of thermal expansion
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
WO2007139141A1 (ja) * 2006-05-31 2007-12-06 Tokyo Electron Limited 絶縁膜の形成方法および半導体装置の製造方法
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead

Also Published As

Publication number Publication date
US20090155488A1 (en) 2009-06-18
TW200931508A (en) 2009-07-16
CN101463473A (zh) 2009-06-24
JP5274229B2 (ja) 2013-08-28
KR101563727B1 (ko) 2015-10-27
KR20090066222A (ko) 2009-06-23
TWI434334B (zh) 2014-04-11
JP2009152603A (ja) 2009-07-09

Similar Documents

Publication Publication Date Title
CN101463473B (zh) 用于等离子体化学气相沉积反应器的喷淋板电极
KR100284571B1 (ko) 세라믹 라이닝을 이용하여 cvd챔버 내의 잔류물 축적을 감소시키는 장치 및 방법
US6706138B2 (en) Adjustable dual frequency voltage dividing plasma reactor
JP4121269B2 (ja) セルフクリーニングを実行するプラズマcvd装置及び方法
US8357435B2 (en) Flowable dielectric equipment and processes
US8394231B2 (en) Plasma process device and plasma process method
US20070193688A1 (en) Process tuning gas injection from the substrate edge
EP1592051A1 (en) Cvd method for forming silicon nitride film on target substrate
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
SG190637A1 (en) Flowable dielectric equipment and processes
JP2018014492A (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
US20200290095A1 (en) Method of forming process film
KR20160149151A (ko) 플라즈마 처리 방법
US20180036775A1 (en) Aluminum fluoride mitigation by plasma treatment
TWI827877B (zh) 化學氣相沉積設備、泵浦襯套及化學氣相沉積方法
KR101139821B1 (ko) 분배 효율이 향상된 가스분사노즐 및 이를 구비한 플라즈마 반응기
KR100493684B1 (ko) 고밀도플라즈마화학기상증착장치및그방법
KR100755116B1 (ko) Pecvd 실리콘 나이트라이드막 형성 방법
JP2000096239A (ja) 誘導結合型プラズマcvd方法及びそのための誘導結合型プラズマcvd装置
KR20140086607A (ko) 박막 고속 증착방법 및 증착장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant