KR101573299B1 - 유동성 유전 장치 및 프로세스 - Google Patents

유동성 유전 장치 및 프로세스 Download PDF

Info

Publication number
KR101573299B1
KR101573299B1 KR1020107027748A KR20107027748A KR101573299B1 KR 101573299 B1 KR101573299 B1 KR 101573299B1 KR 1020107027748 A KR1020107027748 A KR 1020107027748A KR 20107027748 A KR20107027748 A KR 20107027748A KR 101573299 B1 KR101573299 B1 KR 101573299B1
Authority
KR
South Korea
Prior art keywords
plasma
plasma region
showerhead
process gas
substrate
Prior art date
Application number
KR1020107027748A
Other languages
English (en)
Other versions
KR20110010631A (ko
Inventor
드미트리 루보미르스키
치웨이 리앙
장규 양
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110010631A publication Critical patent/KR20110010631A/ko
Application granted granted Critical
Publication of KR101573299B1 publication Critical patent/KR101573299B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

본 발명은, 외부 챔버 압력과 상이한 내부 챔버 압력을 지지할 수 있는 내부를 가지는 프로세싱 챔버를 포함할 수 있는 기판 프로세싱 시스템을 기재하고 있다. 상기 시스템은 상기 프로세싱 챔버의 내부 밖의 플라즈마를 발생시키도록 실행될 수 있는 원격 플라즈마 시스템을 또한 포함할 수 있다. 추가로, 상기 시스템은 상기 원격 플라즈마 시스템으로부터 상기 프로세싱 챔버의 내부로 제 1 프로세스 가스를 전달하도록 실행될 수 있는 제 1 프로세스 가스 채널 및 상기 원격 플라즈마 시스템에 의해 처리되지 않은 제 2 프로세스 가스를 전달하도록 실행될 수 있는 제 2 프로세스 가스 채널을 포함할 수 있다. 상기 제 2 프로세스 가스 채널은 상기 프로세싱 챔버의 내부로 열려 있는 그리고 상기 제 1 프로세스 가스 채널에 의해 부분적으로 또는 전체적으로 둘러싸인 원위 말단을 가진다.

Description

유동성 유전 장치 및 프로세스{FLOWABLE DIELECTRIC EQUIPMENT AND PROCESSES}
관련된 출원에 대한 교차 참조
본 출원은 2008년 5월 9일에 출원된 미국 가특허 출원 제 61/052,080호의 이익을 주장한다. 이 출원은 또한 명칭이 "PROCESS CHAMBER FOR DIELECTRIC GAPFILL"인 2007년 5월 29일에 출원된 미국 특허 출원 제 11/754,858호와 관련된다. 두 출원 모두의 전체 내용은 모든 목적을 위해 참조로서 본원에 통합된다.
기술 분야
본 출원은 박막 및 코팅의 증착, 패턴화 및 처리에서 사용되는 장치, 방법 및 물질을 포함하는 제조 기술 해결안에 관한 것이며, 대표적인 예들은 (비제한적으로) 반도체 및 유전물질 및 장치, 실리콘-기반 웨이퍼 및 평면 패널 디스플레이(예를 들어 TFT)를 포함하는 어플리케이션을 포함한다.
종래의 반도체 프로세싱 시스템은 하나 또는 그 초과의 프로세싱 챔버를 포함하고 이들 사이에서 기판을 이동시키기 위한 수단을 포함한다. 기판은, 기판을 픽업하기 위해 연장되고, 철회하며, 이후 상이한 목적지 챔버에 기판을 위치시키기 위해 다시 연장될 수 있는 로봇 팔에 의해 챔버들 사이에서 전달될 수 있다. 도 1은 기판 프로세싱 챔버의 개략도를 보여준다. 각 챔버는 받침대 샤프트(105) 및 받침대(110) 또는 프로세싱을 위한 기판(115)을 지지하는 어떤 균등한 방식을 가진다.
받침대는 기판을 가열시키도록 구성된 프로세싱 챔버 내의 히터 플레이트일 수 있다. 기판은 로봇 팔이 기판을 놓았을 때부터 로봇 팔이 기판을 픽업하기 위해서 돌아올 때까지 기계적 수단, 차압 수단 또는 정전기적 수단에 의해 받침대에 지지될 수 있다. 리프트 핀은 종종 로봇 작업 중 웨이퍼를 들어올리기 위해 사용된다.
하나 또는 그 초과의 반도체 제조 프로세스 단계가 챔버 내에서 수행될 수 있고, 예를 들면 기판을 어닐링하거나 기판상의 필름을 증착 또는 에칭하는 것이다. 유전 필름은 일부 프로세싱 단계 중 복잡한 토폴로지(complex topologies)로 증착된다. 때로는 플라즈마 기술을 사용하는 다양한 화학 증착 기술을 포함하여, 좁은 갭으로 유전체를 증착시키기 위한 많은 기술이 개발되었다. 고밀도 플라즈마(HDP)-CVD는 유입 반응물의 수직 충돌 궤도와 이와 동시에 이루어지는 스퍼터링 활동으로 인한 많은 기하학적 형태를 채우기 위해 사용되었다. 그러나 일부 매우 좁은 갭은 초기 충격에 따른 이동성의 부족에 부분적으로 기인한 공극(void)을 계속적으로 형성했다. 증착 후 물질을 리플로우 함으로써 공극을 채울 수 있지만, 유전체가 높은 리플로우 온도를 가진다면(SiO2와 같이), 리플로우 프로세스는 또한 웨이퍼의 열 비용(thermal budget)의 무시할 수 없는 부분을 소비할 수 있다.
높은 표면 이동성으로 인해서, 유동성 물질 예컨대 스핀온 글라스(SOG)는 HDP-CVD에 의해 불완전하게 채워졌던 일부 갭들을 채우는데 유용하였다. SOG는 액체로서 도포되고 용매를 제거하기 위해서 도포후에 경화되고, 이로써 고체 유리 필름으로 물질을 전환시킨다. 갭-필링(gapfill) 및 평탄화 능력은 점도가 낮은 경우에 SOG에 대해 향상된다. 안타깝게도, 낮은 점도 물질은 경화 중에 상당하게 수축될 수 있다. 상당한 필름 수축은, 특히 두꺼운 필름에 대하여 높은 막 응력 및 박리 문제를 제공한다.
두 개의 컴포넌트의 전달 경로를 분리하는 것은, 기판 표면상의 증착 중에 유동성 필름을 만들 수 있다. 도 1은 분리된 전달 채널(125 및 135)을 가진 기판 프로세싱 시스템의 개략도이다. 유기-실란 전구체는 일 채널을 통해 전달될 수 있고, 산화 전구체는 다른 채널을 통해 전달될 수 있다. 산화 전구체는 원격 플라즈마(145)에 의해 여기 될 수 있다. 두 개의 컴포넌트의 혼합 영역(120)은, 더욱 일반적 전달 경로를 활용하는 대안적 프로세스보다 기판(115)에 더 가까이 발생된다. 필름이 표면 위로 부어지지 않고 성장되기 때문에, 점도를 줄이기 위해 필요한 유기 컴포넌트들이 프로세스 중에 증발될 수 있고, 이는 경화와 관련된 수축을 감소시킨다. 이 방식으로 필름을 성장시키는 것은 흡수된 종이 이동가능한 상태로 유지되는 가용 시간을 제한하며, 이는 불균일한 필름의 증착을 초래할 수 있는 하나의 제약(constraint)이 된다. 배플(140)은 반응 영역에서 전구체들을 더욱 균등하게 분배하기 위해 사용될 수 있다.
갭필 능력 및 증착 균일성은 높은 유기 성분과 관련된 높은 표면 이동성 측면에서 이익이 된다. 유기 성분의 일부는 증착 후에도 남아 있을 수 있고 그리고 경화 단계가 이용될 수 있다. 경화는 받침대에 매립된 저항성 히터로 받침대(110) 및 기판(115)의 온도를 올림으로써 실시될 수 있다.
개시된 실시예는 프로세싱 챔버 및 상기 챔버 안에 부분적으로 또는 전체적으로 배치된 기판 지지 어셈블리를 가지는 기판 프로세싱 시스템을 포함한다. 두 개의 가스(또는 가스들의 두 조합)는 상이한 경로에 의해 상기 기판 프로세싱 챔버로 전달된다. 프로세스 가스는 프로세싱 챔버로 전달될 수 있고, 제 1 플라즈마 영역 내의 플라즈마에서 여기 될 수 있고, 제 2 플라즈마 영역으로 샤워헤드를 통해 통과될 수 있으며, 이곳에서 실리콘-함유 가스와 상호작용하고 기판의 표면상에 필름을 형성한다. 플라즈마는 제 1 플라즈마 영역 또는 제 2 플라즈마 영역에서 점화(ignite) 될 수 있다.
배향을 임의로 선택하면서, 프로세스 가스는 상부 플라즈마 전극을 형성하는 프로세싱 챔버의 상부를 통해 유입될 수 있다. 샤워헤드는 중간 플라즈마 전극을 형성하고, 프로세싱 챔버의 하부 및/또는 받침대는 하부 전극을 형성한다. 중간 전극은 상부 또는 하부 전극을 실제로 매치(match)시키도록 선택될 수 있으며, 이로써 플라즈마의 위치를 결정한다. 증착 중, 플라즈마는 상부 및 중간 전극으로 점화되어서 제 1 플라즈마 영역에 플라즈마를 형성한다. 중간 전극의 전위는 상부 전극과 실제로 매치시키기 위해 선택될 수 있으며, 그에 따라 제 2 플라즈마 영역에 플라즈마를 형성시킨다. 제 2 플라즈마 영역 내의 플라즈마는 증착된 필름을 경화시키는 것을 도울 수 있지만, 또한 챔버를 세척하기 위해 사용될 수 있다. 세척 공정 중, 제 2 플라즈마 영역에 존재하는 가스는 플루오린을 함유할 수 있다.
개시된 실시예에서, 프로세스 가스는 산소, 수소 및/또는 질소(예를 들어 산소(O2), 오존(O3), N2O, NO, NO2, NH3, NxHy 예컨대 N2H4, 실란, 디실란, TSA, DSA,...)를 함유하고, 샤워헤드를 통과한 후에, 제 2 플라즈마 영역으로 유입된 실리콘-함유 전구체(예를 들어 실란, 디실란, TSA, DSA, TEOS, OMCTS, TMDSO,...)와 혼합된다. 반응물의 조합은 기판상의 필름의 필름을 형성한다. 필름은 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시카바이드 또는 실리콘 옥시니트라이드일 수 있다.
추가적으로 개시된 실시예에서, 처리 가스가 유입될 수 있다(예를 들어 산소(O2), 오존(O3), N2O, NO, NO2, NxHy 예컨대 N2H4, H2, N2, NH3, 및 수증기). 처리 가스는 프로세싱 챔버의 상부로부터 유입될 수 있고 제 1 플라즈마 영역에서 여기될 수 있다. 대안적으로, 가스는 제 1 플라즈마 영역으로 들어가기 전에 원격 플라즈마에 의해 여기될 수 있다. 이 가스는 필름 성장에 크게 기여하지 않지만, 성장되는 동안 또는 성장 후에, 필름의 수소, 탄소 및 불소 성분을 줄이는데 사용될 수 있다. 수소 및 질소 라디칼은 성장 필름의 바람직하지 않은 컴포넌트의 감소를 유도한다. 처리 가스의 여기된 유도체는, 성장 격자로부터 탄소 및 다른 원자를 포획(scavenging)함으로써 필름을 보조하고, 경화 중에 나타나는 수축 및 사후의 막 응력(film stress)을 줄인다.
추가적인 실시예에서, 프로세싱 챔버의 내부로부터 잔여 불소를 제거하기 위해, 처리 가스는 챔버 유지 과정(클리닝 및/또는 시즈닝) 후에, 제 1 플라즈마 영역 내의 플라즈마 또는 원격 플라즈마에서 여기된 후 제 2 플라즈마 영역으로 샤워헤드를 통해 전달된다.
두 개의 플라즈마는 다양한 주파수일 수 있지만, 일반적으로 라디오 주파수(RF) 범위 내에 있을 것이다. 플라즈마는 유도 커플링 또는 용량 커플링될 수 있다. 샤워헤드를 포함하는 챔버의 모든 부품은 부품 내에 만들어진 채널을 통해 물 또는 다른 냉각제를 흐르게 함으로써 냉각될 수 있다.
추가적인 실시예 및 특징부들은, 부분적으로는 하기의 상세한 설명에 기재되어 있고, 부분적으로는 명세서를 검토함으로써 당업자에 명백할 것이고, 또는 개시된 실시예의 실시에 의해서도 습득될 수 있다. 개시된 실시예의 특징 및 이점은 본 명세서에 기재된 수단, 조합 및 방법에 의해 실현되고 달성될 수 있다.
개시된 실시예의 본질 및 이점의 추가적인 이해는, 남아 있는 명세서 부분 및 도면을 참조함으로써 실현될 수 있다.
도 1은 별개의 산화 및 유기-실란 전구체로 필름을 성장시키기 위한 증착 챔버 내 종래 기술의 프로세싱 영역의 개략도이다.
도 2는 개시된 실시예에 따른 구획된 플라즈마 생성 영역을 가진 프로세스 챔버의 사시도이다.
도 3a는 개시된 실시예에 따른 전기 스위치 박스의 개략도이다.
도 3b는 개시된 실시예에 따른 전기 스위치 박스의 개략도이다.
도 4a는 개시된 실시예에 따른 구획된 플라즈마 생성 영역들을 가지는 프로세스 챔버의 단면도이다.
도 4b는 개시된 실시예에 따른 구획된 플라즈마 생성 영역들을 가지는 프로세스 챔버의 단면도이다.
도 5는 개시된 가스 인렛 및 제 1 플라즈마 영역의 확대 사시도이다.
도 6a는 개시된 실시예에 따른 프로세싱 챔버와의 사용을 위한 듀얼-소스 리드의 사시도이다.
도 6b는 개시된 실시예에 따른 프로세싱 챔버와의 사용을 위한 듀얼-소스 리드의 단면도이다.
도 7a는 개시된 실시예에 따른 프로세싱 챔버와의 사용을 위한 듀얼-소스 리드의 단면도이다.
도 7b는 개시된 실시예에 따른 프로세싱 챔버와의 사용을 위한 샤워헤드의 저면도이다.
도 8은 개시된 실시예에 따른 기판 프로세싱 시스템이다.
도 9는 개시된 실시예에 따른 기판 프로세싱 챔버이다.
도 10은 개시된 실시예에 따른 증착 프로세스의 흐름도이다.
도 11은 개시된 실시예에 따른 필름 경화 프로세스의 흐름도이다.
도 12는 개시된 실시예에 따른 챔버 클리닝 프로세스의 흐름도이다.
첨부된 도면에서, 유사한 컴포넌트 및/또는 특징부들은 동일한 참조 라벨을 가질 수 있다. 참조 라벨이 명세서에서 사용되는 경우에, 이 설명은 동일한 참조 라벨을 가지는 유사한 구성들 중 임의의 구성에 적용될 수 있다.
개시된 실시예는, 챔버 내에 부분적으로 또는 전체적으로 배치된 프로세싱 챔버 및 기판 지지 어셈블리를 가지는 기판 프로세싱 시스템을 포함한다. 둘 이상의 가스(또는 가스들의 두 조합)는 상이한 경로에 의해 기판 프로세싱 챔버로 전달된다. 프로세스 가스는 프로세싱 챔버로 전달되고, 플라즈마에서 여기되고, 샤워헤드를 통해 제 2 플라즈마 영역으로 통과할 수 있으며, 여기서 실리콘-함유 가스와 상호작용하고 기판의 표면상에 필름을 형성한다. 플라즈마는 제 1 플라즈마 영역 또는 제 2 플라즈마 영역에서 점화될 수 있다.
도 2는 다중 가스 전구체 사이의 분리를 유지시키는 구획된 플라즈마 생성 영역들을 가지는 프로세스 챔버의 사시도이다. 산소, 수소 및/또는 질소 (예를 들어 산소(O2), 오존(O3), N2O, NO, NO2, NH3, NxHy 예컨대 N2H4, 실란, 디실란, TSA, DSA, ... )를 함유하는 프로세스 가스는 제 1 플라즈마 영역(215)으로 가스 인렛(inlet) 어셈블리(225)를 통해 유입될 수 있다. 제 1 플라즈마 영역(215)은 프로세스 가스로부터 형성된 플라즈마를 함유할 수 있다. 프로세스 가스는 또한 제 1 플라즈마 영역(215)으로 들어가기 전에 원격 플라즈마 시스템(RPS)(220)에서 여기될 수 있다. 제 1 플라즈마 영역(215) 아래에 샤워헤드(210)가 있으며, 이는 제 1 플라즈마 영역(215) 및 제 2 플라즈마 영역(242) 사이의 구멍난 파티션(본원에서 샤워헤드로 지칭됨)이다. 실시예에서, 제 1 플라즈마 영역(215) 내의 플라즈마는, 또한 전도성일 수 있는 샤워헤드(210)와 리드(204) 사이에 AC 파워, 가능하게는 RF 파워를 인가함으로써 생성된다.
제 1 플라즈마 영역 내의 플라즈마의 형성을 가능하게 하기 위해, 전기적 절연 고리(205)가 리드(204)와 샤워헤드(210) 사이에 위치하여, RF 파워가 리드(204)와 샤워헤드(210) 사이에 인가되도록 할 수 있다. 전기적 절연 고리(205)는 세라믹으로 만들어질 수 있고, 스파킹(spark)을 피하기 위해 높은 파괴 전압(breakdown voltage)을 가질 수 있다.
제 2 플라즈마 영역(242)은 샤워헤드(210) 내의 홀(hole)을 통해 제 1 플라즈마 영역(215)으로부터 여기된 가스를 수용할 수 있다. 제 2 플라즈마 영역(242)은 프로세싱 챔버(200)의 측면(side; 235)으로부터 연장되는 튜브(230)로부터 가스 및/또는 증기를 또한 수용할 수 있다. 제 1 플라즈마 영역(215)으로부터의 가스 및 튜브(230)로부터의 가스는 기판(255)을 프로세싱하도록 제 2 플라즈마 영역(242)에서 혼합된다. 프로세스 가스를 여기시키기 위해 제 1 플라즈마 영역(215) 내에 플라즈마를 점화하는 것은, 도 1의 RPS(145)와 배플(140)에만 의존하는 방법보다, 기판 프로세싱 영역(제 2 플라즈마 영역(242))으로 흐르는 여기된 종의 더욱 균일한 분배를 제공할 수 있다. 개시된 실시예에서, 제 2 플라즈마 영역(242)에는 플라즈마가 없다.
기판(255)을 프로세싱하는 것은, 기판이 제 2 플라즈마 영역(242) 내에 위치된 받침대(265)에 의해 지지되는 동안, 기판(255)의 표면상에 필름을 형성하는 것을 포함할 수 있다. 프로세싱 챔버(200)의 측면(235)은 튜브(230)로 가스를 분배시키는, 가스 분배 채널을 포함할 수 있다. 실시예에서, 실리콘-함유 전구체는 튜브(230)들을 통해 그리고 각 튜브(230) 말단의 구멍 및/또는 튜브(230)의 길이에 따라 있는 구멍을 통해, 가스 분배 채널로부터 전달된다.
가스 인렛(225)으로부터 제 1 플라즈마 영역(215)으로 들어가는 가스의 경로는, 배플(도시되지 않음, 그러나 도 1의 배플(140)과 유사)에 의해 간섭될 수 있음에 유의하여야 하고, 이때의 배플의 목적은 제 1 플라즈마 영역(215) 내에서 가스를 더욱 균일하게 분배하기 위한 것이다. 일부 개시된 실시예에서, 프로세스 가스는 산화 전구체(이는 산소(O2), 오존(O3),...를 함유할 수 있음)이고, 샤워헤드 내 홀들을 통해 흐른 후, 프로세스 가스는 제 2 플라즈마 영역으로 더욱 직접적으로 유입된 실리콘-함유 전구체(예를 들어 실란, 디실란, TSA, DSA, TEOS, OMCTS, TMDSO, ... )와 혼합될 수 있다. 반응물의 조합은 기판(255) 상에 실리콘 옥사이드(SiO2)의 필름을 형성하기 위해 사용될 수 있다. 실시예에서, 프로세스 가스는 질소(NH3, NxHy 예컨대 N2H4, TSA, DSA, N2O, NO, NO2,... )를 함유하며, 이는 실리콘-함유 전구체와 혼합되는 경우, 실리콘 니트라이드, 실리콘 옥시니트라이드 또는 낮은-K 유전체를 형성하기 위해 사용될 수 있다.
개시된 실시예에서, 기판 프로세싱 시스템은 또한, 샤워헤드(210)와 받침대(265) 사이에 RF 파워를 인가함으로써, 플라즈마가 제 2 플라즈마 영역(242)에서 점화되도록 구성된다. 기판(255)이 존재하는 경우에, RF 파워는 샤워헤드(210)와 기판(255) 사이에 인가될 수 있다. 절연 스페이서(240)는 샤워헤드(210)와 챔버 바디(280) 사이에 설치되어 샤워헤드(210)가 기판(255)과는 상이한 전위를 유지하도록 한다. 받침대(265)는 받침대 샤프트(270)에 의해 지지된다. 기판(255)은 슬릿 밸브(slit valve; 275)를 통해 프로세스 챔버(200)로 전달될 수 있고, 받침대(265)로 하강하기 전에 리프트 핀(260)에 의해 지지가 될 수 있다.
상기의 설명에서, 제 1 플라즈마 영역(215) 및 제 2 플라즈마 영역(242) 내의 플라즈마는 평행 플레이트 사이에 RF 파워를 인가함으로써 의해 생성된다. 대안적인 실시예에서, 두가지 플라즈마 모두 또는 각각은 유도적으로 생성될 수 있으며, 이 경우에, 두 개의 플레이트는 전도되지 않을 것이다. 컨덕팅 코일은 두 개의 전기적으로 절연된 플레이트 내 및/또는 그 영역을 둘러싸는 프로세싱 챔버의 전기적으로 절연된 벽 내에 매립될 수 있다. 플라즈마가 용량 커플링(capacitively coupled)(CCP)되거나 유도 커플링(ICP) 되는지에 무관하게, 플라즈마에 노출된 챔버의 부분은 그 부분 내의 냉각 유체 채널을 통해 물을 흐르게 함으로써 냉각될 수 있다. 샤워 헤드(210), 리드(204) 및 벽(205)은 개시된 실시예에서 물로 냉각된다. 유도 커플링된 플라즈마가 사용되는 경우에, 챔버는 제 1 플라즈마 영역 및 제 2 플라즈마 영역 양쪽에서 동시에 플라즈마로 (더욱 쉽게) 작동될 수 있다. 이 능력은 챔버 클리닝을 촉진하는데 유용할 수 있다.
도 3a-b는 제 1 플라즈마 영역 또는 제 2 플라즈마 영역 중 하나에 플라즈마를 야기할 수 있는 전기 스위치(300)의 전기 개략도이다. 도 3a 및 3b에서, 전기 스위치(300)는 수정된 DPDT(double-pole double-throw)이다. 전기 스위치(300)는 두 위치 중 하나에 있을 수 있다. 제 1 위치는 도 3a에 도시되어 있고 제 2 위치는 도 3b에 도시되어 있다. 왼쪽의 두 연결(302, 304)은 프로세싱 챔버로의 전기 인풋이고, 오른쪽 두 연결(310, 312)은 프로세싱 챔버 상의 컴포넌트로의 아웃풋 연결이다. 전기 스위치(300)는 프로세싱 챔버 상에 또는 그 근처에 물리적으로 위치될 수 있지만, 또한 프로세싱 챔버에서 먼 위치에 있을 수 있다. 전기 스위치(300)는 수동으로 및/또는 자동으로 작동될 수 있다. 자동 작업은 두 개의 컨택트(306, 308)의 상태를 변화시키기 위해 하나 또는 그 초과의 릴레이의 사용을 포함할 수 있다. 개시된 실시예에서 전기 스위치(300)는 표준 DPDT 스위치로부터 변경될 수 있으며, 이점에서 정확하게 하나의 전기 아웃풋(312)은 두 개의 콘택트(306, 308) 각각에 의해 접촉될 수 있고, 남아 있는 아웃풋은 단지 하나의 콘택트(306)에 의해서만 접촉될 수 있다.
제 1 위치(도 3a)는 플라즈마가 제 1 플라즈마 영역에서 생성되도록 할 수 있고, 제 2 플라즈마 영역에는 거의 또는 전혀 플라즈마를 야기시키지 않는다. 챔버 바디, 받침대 및 기판(존재한다면)은 대부분 기판 프로세싱 시스템에서 전형적으로 접지 전위에 있다. 개시된 실시예에서, 받침대는 전기 스위치(300) 위치에 무관하게 전기 접지(335)에 있다. 도 3a는 리드(370)에 RF 파워(325)를 인가하고 샤워헤드(375)를 접지(335)하는(다시 말해 O볼트를 인가하는) 스위치 위치를 보여준다. 이 스위치 위치는 기판 표면상의 필름의 증착에 대응될 수 있다.
제 2 위치(도 3b)는 플라즈마가 제 2 플라즈마 영역에서 생성되도록 할 수 있다. 도 3b는 샤워헤드(375)에 RF 파워(325)를 인가하고, 리드(370)가 부유(float) 되도록 하는 스위치 위치를 보여준다. 전기 부유 리드(370)는 제 1 플라즈마 영역에 존재하는 플라즈마를 거의 또는 전혀 야기시키지 않는다. 이 스위치 위치는 개시된 실시예의 증착 후의 필름의 처리 또는 챔버 클리닝 과정에 상응할 수 있다.
RF 소스에 의한 AC 주파수 아웃풋에 적당한 두 개의 임피던스 매칭 서킷(360, 365) 및 리드(370) 및 샤워헤드(375)의 측면이 도 3a 및 3b에 도시되어 있다. 임피던스 매칭 서킷(360, 365)은 RF 소스로 회귀하는 리플렉트된 파워를 감소시킴으로써 RF 소스의 파워 요건을 줄일 수 있다. 다시, 주파수는 일부 공개된 실시예에서 라디오 주파수 스펙트럼 밖에 있을 수 있다.
도 4a-b는 본원에 기재된 실시예에 따른 구획된 플라즈마 생성 영역들을 가지는 프로세스 챔버의 단면도이다. 필름 증착 (실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드 또는 실리콘 옥시카바이드) 중, 프로세스 가스는 가스 인렛 어셈블리(405)를 통해 제 1 플라즈마 영역(415)으로 흐를 수 있다. 프로세스 가스는 제 1 플라즈마 영역(415)으로 들어가기 전에 원격 플라즈마 시스템 (RPS)(400)에서 여기될 수 있다. 리드(412) 및 샤워헤드(425)는 개시된 실시예에 따라 도시되어 있다. 리드(412)는 인가된 AC 전압 소스와 함께 도시되고, 샤워헤드는, 도 3a에서의 전기 스위치의 제 1 위치와 일치하게 접지(ground)된다. 절연 고리(420)는, 용량 커플링된 플라즈마(CCP)가 제 1 플라즈마 영역에서 형성되도록 할 수 있는, 리드(412)와 샤워헤드(425) 사이에 위치된다.
실리콘-함유 전구체는 프로세싱 챔버의 측면(435)으로부터 연장되는 튜브(430)를 통해 제 2 플라즈마 영역(433)으로 흐를 수 있다. 프로세스 가스로부터의 얻어진 여기된 종은 샤워헤드(425) 내 홀들을 통해 이동되고, 제 2 플라즈마 영역(433)을 통해 흐르는 실리콘-함유 전구체와 반응한다. 다른 실시예에서, 샤워헤드(425)에서의 홀들의 직경은 12mm 미만일 수 있고, 0.25mm 내지 8mm일 수 있고, 0.5mm 내지 6mm일 수 있다. 샤워헤드의 두께는 꽤 다양할 수 있지만, 홀들의 직경의 길이는 홀들의 직경과 유사 또는 그 미만일 수 있고, 제 2 플라즈마 영역(433) 내의 프로세스 가스로부터 얻어진 여기된 종의 밀도를 증가시킨다. 스위치의 위치(도 3a) 때문에 제 2 플라즈마 영역에 플라즈마가 거의 또는 전혀 존재하지 않는다. 프로세스 가스 및 실리콘-함유 전구체의 여기된 파생물은 기판 위의 영역에서 혼합되고, 때때로 기판상에서 혼합되어 기판상에 유동성 필름을 형성한다. 필름이 성장하면서, 보다 최근에 더해진 물질은 아래에 있는 물질보다 보다 높은 이동성을 가진다. 유기 성분이 증발에 의해 감소하면서 유동성이 감소된다. 이 기술을 사용하여, 증착이 완료된 후 필름 내에 종래의 밀도의 유기 성분을 남기지 않고, 갭이 유동성 필름에 의해 채워질 수 있다. 경화 단계는 여전히 증착된 필름으로부터 유기 성분을 추가로 줄이거나 제거하도록 사용될 수 있다.
제 1 플라즈마 영역(415)에서만 또는 원격 플라즈마 시스템(RPS)과 결합하여 프로세스 가스를 여기시키는 것은 여러 이점을 제공한다. 프로세스 가스로부터 얻어진 여기된 종의 농도는 제 1 플라즈마 영역(415) 내의 플라즈마 때문에 제 2 플라즈마 영역(433) 내에서 증가될 수 있다. 이 증가는 제 1 플라즈마 영역(415)에서의 플라즈마의 위치로 인한 결과일 수 있다. 제 2 플라즈마 영역(433)은 원격 플라즈마 시스템(RPS)(400)보다 제 1 플라즈마 영역(415)으로 더 가까이 위치되어서, 여기된 종들이 다른 가스 분자들, 챔버의 벽 그리고 샤워헤드의 표면과의 충돌을 통해서 여기된 상태를 벗어나는 시간을 덜 남긴다.
프로세스 가스로부터 얻어진 여기된 종의 농도의 균일성은 제 2 플라즈마 영역(433) 내에서 증가될 수 있다. 이는 제 2 플라즈마 영역(433)의 모양에 보다 유사한, 제 1 플라즈마 영역(415)의 모양으로 인한 결과일 수 있다. 원격 플라즈마 시스템(RPS)(400)에서 생성된 여기된 종은 샤워헤드(425)의 중심 근처의 홀을 통과하는 종에 비해 샤워헤드(425)의 가장자리 근처의 홀을 통과하기 위해 더 긴 거리를 이동한다. 더 긴 거리는 여기된 종의 감소된 여기를 야기하고, 예를 들어, 기판의 가장자리 근처에 더 늦은 성장 속도를 야기할 수 있다. 제 1 플라즈마 영역(415)에서 프로세스 가스를 여기시키는 것은 이 변동을 감소시킨다.
프로세스 가스 및 실리콘-함유 전구체에 추가하여, 다양한 목적을 위해 다양한 시간에서 유입되는 다른 가스들이 존재할 수 있다. 처리 가스가 챔버 벽, 기판, 증착된 필름 및/또는 증착 중 필름으로부터 원하지 않는 종을 제거하기 위해 유입될 수 있다. 처리 가스는 H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2 및 수증기의 군으로부터의 가스들 중 하나 이상을 포함할 수 있다. 처리 가스는 플라즈마에서 여기될 수 있고, 이후 증착된 필름으로부터 잔여 유기 성분을 감소시키거나 줄이기 위해 사용될 수 있다. 다른 개시된 실시예에서, 처리 가스는 플라즈마 없이 사용될 수 있다. 처리 가스가 수증기를 포함하는 경우에, 그 전달은 질량유량계(MFM) 및 분사밸브(injection valve)를 사용함으로써 또는 상업적으로 입수가능한 수증기 발생기에 의해 달성될 수 있다.
도 4b는, 도 3b에 도시된 스위치 위치와 일치하는 제 2 플라즈마 영역(433)에 플라즈마를 가진 프로세스 챔버의 단면도이다. 플라즈마는 프로세싱 챔버의 측면(435)으로부터 연장하는 튜브(430)를 통해 전달된 처리 가스를 여기시키기 위해 제 2 플라즈마 영역(433)에서 사용될 수 있다. 스위치의 위치(도 3b) 때문에 제 1 플라즈마 영역(415)에는 플라즈마가 거의 또는 전혀 존재하지 않는다. 처리 가스로부터 얻어진 여기된 종은 기판(455) 상의 필름과 반응하고 증착된 필름으로부터 유기 화합물을 제거한다. 본원에서 이 프로세스는 필름을 처리하거나 경화시키는 것으로 지칭될 수 있다.
일부 기재된 실시예에서, 제 2 플라즈마 영역(433)에서의 튜브(430)는 알루미늄 니트라이드 또는 알루미늄 옥사이드와 같은 절연 물질을 포함한다. 절연 물질은 일부 기판 프로세싱 챔버 구조에 대한 스파킹의 위험을 줄인다.
처리 가스는 또한 가스 인렛 어셈블리(405)를 통해 제 1 플라즈마 영역(415)으로 유입될 수 있다. 개시된 실시예에서, 처리 가스는 가스 인렛 어셈블리(405)만을 통해 또는 제 2 플라즈마 영역(433)의 벽(435)으로부터 연장되는 튜브(430)를 통한 처리 가스의 흐름과 함께 유입될 수 있다. 증착된 필름을 처리하기 위해 제 1 플라즈마 영역(415)을 통해, 이후 샤워헤드(430)를 통해 흐르는 처리 가스는 제 1 플라즈마 영역(415)의 플라즈마에서 또는 대안적으로 제 2 플라즈마 영역(433)의 플라즈마에서 여기될 수 있다.
기판(455)을 처리하거나 경화시키는 것에 추가하여, 처리 가스는 제 2 플라즈마 영역(433)의 내부 표면(예를 들어 벽(435), 샤워헤드(425), 받침대(465) 및 튜브(430))을 세척하기 위해 플라즈마가 존재하는 제 2 플라즈마 영역(433)으로 흐를 수 있다. 유사하게, 처리 가스는 제 1 플라즈마 영역(415)의 내부 표면(예를 들어 리드(412), 벽(420) 및 샤워헤드(425))를 세척하기 위해 플라즈마가 존재하는 제 1 플라즈마 영역(415)으로 흐를 수 있다. 개시된 실시예에서, 처리 가스는 제 2 플라즈마 영역(433)의 내부 표면으로부터 잔여 불소를 제거하기 위해 제 2 플라즈마 영역 보수 과정(세척 및/또는 시즌) 후에, (플라즈마가 존재하는) 제 2 플라즈마 영역(433)으로 흐른다. 동일한 과정의 별개의 단계(가능하게는 순차적 단계) 또는 별개의 과정의 일부로서, 처리 가스는 제 1 플라즈마 영역(415)의 내부 표면으로부터 잔여 불소를 제거하기 위해 제 1 플라즈마 영역 유지보수 과정(세척 및/또는 시즌) 후, (플라즈마가 존재하는) 제 1 플라즈마 영역(415)으로 흐른다. 일반적으로, 두 영역은 동시에 세척 또는 시즈닝의 필요가 있을 것이고, 처리 가스는 기판 프로세싱이 재개하기 전에 순차적으로 각 영역을 처리할 수 있다.
앞서 언급된 처리 가스 프로세스는 증착 단계와는 별개의 프로세스 단계에서 처리 가스를 사용한다. 처리 가스는 또한 성장하는 필름으로부터 유기 성분을 제거하기 위해 증착 중에 사용될 수도 있다. 도 5는 가스 인렛 어셈블리(503) 및 제 1 플라즈마 영역(515)의 확대 사시도를 보여준다. 가스 인렛 어셈블리(503)는 두 개의 별개의 가스 흐름 채널(505, 510)을 보여주면서 더욱 상세히 도시되어 있다. 일 실시예에서, 프로세스 가스는 외부 채널(505)을 통해 제 1 플라즈마 영역(515)으로 흐르게 된다. 프로세스 가스는 RPS(500)에 의해 여기되거나 또는 여기되지 않을 수 있다. 처리 가스는 RPS(500)에 의해 여기 되지 않고 내부 채널(510)로부터 제 1 플라즈마 영역(515)으로 흐를 수 있다. 외부 채널(505) 및 내부 채널(510)의 위치는, 두 개의 채널 중 하나만이 RPS(500)을 통해 흐르게 하는 다양한 물리적 구성(예를 들어, 개시된 실시예에서 RPS 여기된 가스가 내부 채널을 통해 흐를 수 있음)으로 배열될 수 있다.
프로세스 가스 및 처리 가스 둘 모두는 제 1 플라즈마 영역(515) 내의 플라즈마에서 여기될 수 있고 순차적으로 샤워헤드(520)의 홀들을 통해 제 2 플라즈마 영역으로 흐른다. 처리 가스의 목적은 증착 중 필름으로부터 원하지 않는 컴포넌트(일반적으로 유기 성분)을 제거하기 위한 것이다. 도 5에 도시된 물리적 구성에서, 내부 채널(510)로부터의 가스는 필름 성장에 크게 기여할 수 없지만, 성장하는 필름으로부터 불소, 수소 및/또는 탄소를 포착하기 위해 사용될 수 있다.
도 6a는, 개시된 실시예에 따른 프로세싱 챔버와의 사용을 위한 챔버-탑 어셈블리의 사시도이고, 도 6b는 이의 단면도이다. 가스 인렛 어셈블리(601)는 제 1 플라즈마 영역(611)으로 가스를 유입시킨다. 두 개의 별개의 가스 공급 채널은 가스 인렛 어셈블리(601) 내에 보인다. 제 1 채널(602)은 원격 플라즈마 시스템 RPS(600)을 통과하는 가스를 나르며, 한편 제 2 채널(603)은 RPS(600)를 우회한다. 개시된 실시예에서, 제 1 채널(602)은 프로세스 가스를 위해 사용될 수 있고 제 2 채널(603)은 처리 가스를 위해 사용될 수 있다. 리드(605) 및 샤워헤드(615)는 그 사이에 절연 고리(610)를 가지는 것으로 도시되어 있으며, 이는, 샤워헤드(615)에 대하여 상대적인(relative to) AC 전위가 리드(605)에 인가될 수 있도록 한다. 기판 프로세싱 챔버(625)의 측면은 가스 분배 채널을 가지는 것으로 도시되어 있으며, 튜브는 이로부터 방사상 내측으로 포인팅(pointing)하면서 장착될 수 있다. 튜브는 도 6a-b의 도면에 도시되어 있지 않다.
도 6a-b의 샤워헤드(615)는 개시된 실시예에서 홀의 가장 작은 직경(617)의 길이보다 더 두껍다. 제 1 플라즈마 영역(611)으로부터 제 2 플라즈마 영역(630)으로 관통하는 여기된 종의 상당한 농도를 유지하기 위해, 홀의 가장 작은 직경(617)의 길이(618)는, 샤워 헤드(615)를 통해 보다 큰 홀(619) 부분(part)을 형성함으로써 제한될 수 있다. 홀의 가장 작은 직경(617)의 길이는 개시된 실시예에서 홀의 가장 작은 직경(617) 또는 그 미만과 동일한 정도의 크기(order of magnitude)일 수 있다.
도 7a는 개시된 실시예에 따른 프로세싱 챔버와의 사용을 위한 듀얼-소스 리드의 또 다른 단면도이다. 가스 인렛 어셈블리(701)는 제 1 플라즈마 영역(711)으로 가스를 유입시킨다. 두 개의 별개의 가스 공급 채널이 가스 인렛 어셈블리(701) 내에 보인다. 제 1 채널(702)은 원격 플라즈마 시스템 RPS(700)을 통과하는 가스를 나르고, 한편 제 2 채널(703)은 RPS(700)를 우회한다. 개시된 실시예에서, 제 1 채널(702)은 프로세스 가스를 위해 사용될 수 있고 제 2 채널(703)은 처리 가스를 위해 사용될 수 있다. 리드(705) 및 샤워헤드(715)는 그 사이에 절연 고리(710)를 가지는 것으로 도시되어 있으며, 이는 샤워헤드(715)에 대하여 상대적인 AC 전위가 리드(705)에 인가될 수 있도록 한다.
도 7a의 샤워헤드(715)는 여기된 가스의 유도체(예컨대 프로세스 가스)가 제 1 플라즈마 영역(711)으로부터 제 2 플라즈마 영역(730)으로 이동하도록, 도 6a-b에서의 것들과 유사한 관통-홀을 가진다. 샤워헤드(715)는 또한 증기 또는 가스(예컨대 실리콘-함유 전구체)로 채워질 수 있는 그리고 제 1 플라즈마 영역(711)이 아닌 제 2 플라즈마 영역(730)으로 작은 홀(755)을 경유하여 통할 수 있는 하나 또는 그 초과의 속 빈 체적부(751)을 가진다. 속 빈 체적부(751) 및 작은 홀(755)은 제 2 플라즈마 영역(730)으로 실리콘-함유 전구체를 유입시키기 위해 튜브를 대신하여 사용될 수 있다. 샤워헤드(715)는 본원에 개시된 실시예의 관통-홀들의 가장 작은 직경(717)의 길이보다 더 두껍다. 제 1 플라즈마 영역(711)으로부터 제 2 플라즈마 영역(730)으로 관통하는 여기된 종의 상당한 농도를 유지하기 위해, 관통-홀의 가장 작은 직경(717)의 길이(718)는 샤워헤드(715)를 통해 보다 큰 홀(719) 부분을 형성함으로써 제한될 수 있다. 관통 홀의 가장 작은 직경(717)의 길이는 개시된 실시예에서의 관통-홀의 가장 작은 직경(617) 또는 그 미만과 동일한 정도의 크기(order of magnitude)일 수 있다.
실시예에서, 관통-홀의 수는 약 60 내지 약 2000일 수 있다. 관통-홀은 다양한 모양을 가질 수 있지만, 대부분 쉽게 제조되는 라운드 형태를 가진다. 관통 홀의 가장 작은 직경은 개시된 실시예에서 약 0.5mm 내지 약 20mm 또는 약 1mm 내지 약 6mm 일 수 있다. 관통 홀의 단면 모양을 선택함에 있어서 자유(latitude)가 있으며, 이는 원뿔형, 실린더형 또는 두 모양의 조합일 수 있다. 제 2 플라즈마 영역(730)으로 가스를 유입시키기 위해 사용되는 작은 홀(755)의 수는 다른 실시예에서, 약 100 내지 약 5000 또는 약 500 내지 약 2000일 수 있다. 작은 홀의 직경은 약 0.1mm 내지 약 2mm일 수 있다.
도 7b는 개시된 실시예에 따른 프로세싱 챔버와의 사용을 위한 샤워헤드(715)의 저면도이다. 샤워헤드(715)는 도 7a에 도시된 샤워헤드와 대응한다. 관통-홀(719)은 샤워헤드(715)의 하부에 더 큰 내부 직경(ID)을 가지고 상부에는 더 작은 ID를 가진다. 작은 홀(755)은 샤워헤드의 표면 위에서, 심지어 관통-홀(719)들 사이에서도 실질적으로 균일하게 분배되어 있어, 본원에 기재된 다른 실시예보다 훨씬 균등한 혼합을 제공하는 것을 돕는다.
예시적 기판 프로세싱 시 스템
증착 시스템의 실시예는 집적 회로 칩을 생산하기 위한 더 큰 제조 시스템으로 통합될 수 있다. 도 8은 개시된 실시예에 따른 증착, 베이킹 및 경화 챔버로 구성된 하나의 이러한 시스템(800)을 보여준다. 도면에서, 한 쌍의 FOUPs(front opening unified pods)(802)는, 로봇 팔(804)에 의해 수용되고 웨이퍼 프로세싱 챔버(808a-f) 중 하나로 배치되기 전에 낮은 압력 홀딩 영역(806)으로 배치되는 기판(예를 들어, 300mm 직경 웨이퍼)을 제공한다. 제 2 로봇 팔(810)은 홀딩 영역(806)으로부터 프로세싱 챔버(808a-f)로 기판 웨이퍼를 전달 및 그 역으로 전달하기 위해 사용될 수 있다.
프로세싱 챔버(808a-f)는 기판 웨이퍼 상에 유동성 유전체 필름을 증착시키고, 어닐링시키며, 경화 및/또는 에칭시키기 위한 하나 또는 그 초과의 시스템 컴포넌트을 포함할 수 있다. 일 구성에서, 두 쌍의 프로세싱 챔버(예를 들어, 808c-d 및 808e-f)는 기판상에 유동성 유전체 물질을 증착시키기 위해 사용될 수 있고, 제3의 프로세싱 챔버 쌍(예를 들어, 808a-b)은 증착된 유전체를 어닐링하기 위해 사용될 수 있다. 또 다른 구성에서, 동일한 두 쌍의 프로세싱 챔버(예를 들어, 808c-d 및 808e-f)는 기판상에 유동성 유전체 필름을 증착시키고 어닐링시키기 위해 구성될 수 있으며, 한편 제3의 챔버 쌍(예를 들어, 808a-b)은 증착된 필름의 UV 또는 E-빔 경화를 위해 사용될 수 있다. 또 다른 구성에서, 모든 세 쌍의 챔버(예를 들어, 808a-f)는 기판상에 유동성 유전체 필름을 증착시키고 경화시키기 위해 구성될 수 있다. 또 다른 구성에서, 두 쌍의 프로세싱 챔버(예를 들어, 808c-d 및 808e-f)는 유동성 유전체의 증착과 UV 또는 E-빔 경화 둘 모두를 위해 사용될 수 있으며, 한편, 제3의 프로세싱 챔버 쌍(예를 들어 808a-b)은 유전체 필름을 어닐링하기 위해 사용될 수 있다. 유동성 유전체 필름을 위한 증착, 어닐링 및 경화 챔버들의 추가적인 구성이 시스템(800)에 의해 고려될 수 있음이 인식될 것이다.
추가로, 프로세스 챔버(808a-f)의 하나 또는 그 초과는 습식 처리 챔버로서 구성될 수 있다. 이 프로세스 챔버는 습기를 포함하는 분위기에서 유동성 유전체 필름을 가열하는 것을 포함한다. 따라서, 시스템(800)의 실시예는 증착된 유전체 필름상에 습식 및 건식 어닐링 둘 모두를 수행하기 위해 어닐 프로세싱 챔버(808c-d) 및 습식 처리 챔버(808a-b)를 포함할 수 있다.
도 9는 개시된 실시예에 따른 기판 프로세싱 챔버(950)이다. 원격 플라즈마 시스템(RPS)(948)은 가스를 프로세스 할 수 있고, 이는 이후 가스 인렛 어셈블리(954)를 통해 이동한다. 더욱 구체적으로, 가스는 채널(956)을 통해 제 1 플라즈마 영역(983)으로 이동한다. 제 1 플라즈마 영역(983) 아래에, 구멍난 파티션(샤워헤드)(952)이 있어서, 제 1 플라즈마 영역(983) 및 샤워헤드(952) 아래의 제 2 플라즈마 영역(985) 사이에 일부 물리적 분리를 유지할 수 있다. 샤워헤드는 제 1 플라즈마 영역(983)에 존재하는 플라즈마가 제 2 플라즈마 영역(985)의 가스를 직접 여기 시키는 것을 피하도록 하며, 한편 여기된 종이 여전히 제 1 플라즈마 영역(983)으로부터 제 2 플라즈마 영역(985)으로 이동하도록 허용한다.
샤워헤드(952)는 기판 프로세싱 챔버(950)의 제 2 플라즈마 영역(985)의 내부로 방사형으로 돌출되어 있는 측면 노즐(또는 튜브)(953) 위에 위치한다. 샤워헤드(952)는 플레이트의 두께를 가로지르는 복수의 홀을 통해 전구체를 분배시킨다. 샤워헤드(952)는 예를 들어, 약 10 내지 10000 홀(예를 들어, 200 홀)을 가질 수 있다. 도시된 실시예에서, 샤워헤드(952)는 산소, 수소 및/또는 질소를 포함하는 프로세스 가스 또는 이러한 프로세스 가스의 유도체를 함유하는 프로세스 가스를 제 1 플라즈마 영역(983)에서의 플라즈마에 의한 여기 시 분배시킬 수 있다. 실시예에서, 프로세스 가스는 산소(O2), 오존(O3), N2O, NO, NO2, NH3, NxHy 예컨대 N2H4, 실란, 디실란, TSA 및 DSA 중 하나 또는 그 초과를 함유할 수 있다.
튜브(953)는 (제 2 플라즈마 영역(985)의 중심에 가장 가까운) 말단에 홀을 가질 수 있고 및/또는 튜브(953)의 길이를 따라 또는 그 주위에 분배된 홀들을 가질 수 있다. 홀들은 제 2 플라즈마 영역으로 실리콘-함유 전구체를 유입시키기 위해 사용될 수 있다. 샤워헤드(952)의 홀들을 통해 도착하는 프로세스 가스 및 이의 여기된 유도체가 튜브(953)를 통해 도착하는 실리콘-함유 전구체와 혼합되는 경우에, 제 2 플라즈마 영역(985)의 받침대(986)에 의해 지지된 기판 상에 필름이 형성된다.
탑 인렛(954)은, 샤워헤드(952) 위의 제 1 플라즈마 영역(983)으로 들어갈 때까지 둘 또는 그 초과의 전구체의 혼합 및 반응을 방지하는 둘 또는 그 초과의 독립적 전구체(예를 들어, 가스) 흐름 채널(956 및 958)을 가질 수 있다. 제 1 흐름 채널(956)은 인렛(954)의 중심을 두르는 환형 모양을 가질 수 있다. 이 채널은, 채널(956) 아래로 그리고 샤워헤드(952) 위의 제 1 플라즈마 영역(983)으로 흐르는 반응성 종 전구체를 발생시키는 원격 플라즈마 시스템 (RPS)(948)에 커플링될 수 있다. 제 2 흐름 채널(958)은 실린더형 모양일 수 있고 제 1 플라즈마 영역(983)으로 제 2 전구체를 흐르도록 하기 위해 사용될 수 있다. 이 흐름 채널은 반응성 종 발생 유닛을 우회하는 전구체 및/또는 캐리어 가스 소스로 시작될 수 있다. 제 1 및 제 2 전구체는 그 다음에 혼합되고 제 2 플라즈마 영역으로 플레이트(952)의 홀들을 통해 흐른다.
샤워헤드(952) 및 탑 인렛(954)은 기판 프로세싱 챔버(950) 내 제 2 플라즈마 영역(985)으로 프로세스 가스를 전달시키기 위해 사용될 수 있다. 예를 들어, 제 1 흐름 채널(956)은 원자 산소(그라운드 또는 전기적으로 여기된 상태), 산소(O2), 오존(O3), N2O, NO, NO2, NH3, NxHy 예컨대 N2H4, 실란, 디실란, TSA 및 DSA 중 하나 또는 그 초과를 포함하는 프로세스 가스를 전달할 수 있다. 이 프로세스 가스는 또한 캐리어 가스 예컨대 헬륨, 아르곤, 질소(N2) 등을 포함한다. 제 2 채널(958)은 또한 프로세스 가스, 캐리어 가스, 및/또는 성장하는 또는 증착된 필름으로부터 원치 않는 컴포넌트을 제거하는데 사용되는 처리 가스를 전달할 수 있다.
용량 커플링된 플라즈마(CCP)를 위해, 전기 절연체(976)(예를 들어 세라믹 고리)는 전압 차이가 있도록, 프로세싱 챔버의 전도 탑 부분(982)과 샤워헤드 사이에 위치한다. 전기 절연체(976)의 존재는 제 1 플라즈마 영역(983) 내부에 RF 파워 소스에 의해 플라즈마가 만들어질 수 있음을 보증한다. 유사하게, 세라믹 고리는 또한 플라즈마가 제 2 플라즈마 영역(985)에 만들어지도록 샤워헤드(952)와 받침대(986)(도 9에 도시되지 않음) 사이에 위치될 수 있다. 이는 튜브(953)의 수직 위치에 의존하여 그리고 이들이 스파킹을 일으킬 수 있는 금속 성분을 가지는지에 따라 튜브(953) 위 또는 아래에 위치될 수 있다.
플라즈마는 샤워헤드 위의 제 1 플라즈마 영역(983) 또는 샤워헤드와 측면 노즐(953) 아래의 제 2 플라즈마 영역(985)에서 점화될 수 있다. 전형적으로 라디오 주파수(RF) 범위에 있는 AC 전압은 증착 중, 제 1 플라즈마 영역(983) 내 플라즈마를 점화시키기 위해 프로세싱 챔버의 전도 탑 부분(982)과 샤워헤드(952) 사이에 인가된다. 제 2 플라즈마 영역(985)을 경계 짓는 내부 표면을 세척하거나 필름을 경화시키도록 하부 플라즈마(985)가 턴온(turn on)되는 경우에, 탑 플라즈마는 낮은 파워로 또는 전혀 파워 없이 유지된다. 제 2 플라즈마 영역(985) 내 플라즈마는 샤워헤드(952)와 받침대(986)(또는 챔버의 아래) 사이에 AC 전압을 인가함으로써 점화된다.
본원에서 사용된 "여기 상태"의 가스는, 가스 분자의 일부 또는 전부가 진동-여기된, 해리된 및/또는 이온화된 상태에 있는, 가스를 지칭한다. 가스는 둘 또는 그 초과의 가스의 조합일 수 있다.
개시된 실시예는, 증착, 에칭, 경화 및/또는 세척 프로세스와 관련될 수 있는 방법을 포함한다. 도 10은 개시된 실시예에 따른 증착 프로세스의 흐름도이다. 둘 이상의 구획으로 나눠진 기판 프로세싱 챔버는 본원에 기재된 방법을 수행하기 위해 사용된다. 기판 프로세싱 챔버는 제 1 플라즈마 영역 및 제 2 플라즈마 영역을 가질 수 있다. 제 1 플라즈마 영역 및 제 2 플라즈마 영역 둘 모두는, 영역들 내에 점화된 플라즈마를 가질 수 있다.
도 10에 도시된 프로세스는 기판 프로세싱 챔버로의 기판 전달(단계 1005)로 시작된다. 이 기판은 제 2 플라즈마 영역에 위치되며, 이 후에, 제 1 플라즈마 영역으로 프로세스 가스가 흐를 수 있다(단계 1010). 처리 가스는 또한 제 1 플라즈마 영역 또는 제 2 플라즈마 영역으로 유입될 수 있다(단계는 도시되지 않음). 플라즈마는 그 다음에 제 2 플라즈마 영역에서는 아니지만, 제 1 플라즈마 영역에서 점화될 수 있다(단계 1015). 실리콘-함유 전구체는 제 2 플라즈마 영역(1020)으로 흐른다. 단계(1010, 1015 및 1020)의 타이밍 및 순서는 본 발명의 취지를 벗어남 없이 조정될 수 있다. 플라즈마가 개시되고 전구체가 흐르면, 필름이 기판 상에 성장된다(1025). 필름이 미리 정해진 두께로 성장되거나 또는 미리 정해진 시간만큼 성장(1025)된 후, 플라즈마 및 가스 흐름은 정지되고(1030) 기판은 기판 프로세싱 챔버로부터 제거될 수 있다(1035). 기판이 제거되기 전에, 필름은 다음에 기재되는 프로세스에서 경화될 수 있다.
도 11은 개시된 실시예에 따른 필름 경화 프로세스의 흐름도이다. 이 프로세스의 시작(1100)은 도 10에 도시된 방법으로 기판이 제거(1035)되기 직전일 수 있다. 이 프로세스는 프로세싱 챔버의 제 2 플라즈마 영역으로의 기판에 의해 시작될 수 있다(1100). 이 경우에, 기판은 또 다른 프로세싱 챔버에서 프로세싱되었을 수도 있다. 처리 가스(이전에 기재된 가능한 가스)는 제 1 플라즈마 영역으로 흐르고(1110), 플라즈마는 제 1 플라즈마 영역에서 개시된다(1115)(다시 타이밍/순서는 조절될 수 있다). 필름에 바람직하지 않은 성분은 그 다음에 제거된다(1125). 일부 개시된 실시예에서, 이 바람직하지 않은 성분은 유기성분이고 이 프로세스는 기판상의 필름을 경화 또는 강화(hardening)(1125) 하는 것을 포함한다. 이 필름은 이 프로세스 중 수축될 수 있다. 이 가스 및 플라즈마의 흐름은 정지되고(1130) 이 기판은 기판 프로세싱 챔버로부터 제거될 수 있다(1135).
도 12는 개시된 실시예에 따른 챔버 클리닝 프로세스의 흐름도이다. 이 프로세스의 시작(1200)은, 예방적 유지보수(preventative maintenance: PM) 과정 또는 계획적이지 않은 이벤트 후 종종 발생되는, 챔버의 세척 또는 시즈닝 후에 발생될 수 있다. 기판 프로세싱 챔버가 제 1 플라즈마 영역과 제 2 플라즈마 영역에서 플라즈마를 동시에 지지할 수 없는 두 구획을 가지기 때문에, 두 영역을 세척하기 위해 순차적 프로세스가 요구될 것이다. 처리 가스(이전에 기재된 가능한 가스)는 제 1 플라즈마 영역으로 흐르고(1210), 플라즈마는 제 1 플라즈마 영역에서 개시된다(1215)(다시 타이밍/순서는 조절될 수 있다). 제 1 플라즈마 영역 내의 내부 표면은, 처리 가스의 흐름 및 플라즈마가 정지(1230)되기 전에 세척된다(1225). 이 프로세스는 제 2 플라즈마 영역에 대해 반복된다. 이 처리 가스는 제 2 플라즈마 영역으로 흐르고(1235), 플라즈마는 여기서 개시된다(1240). 제 2 플라즈마 영역의 내부 표면이 세척(1245)되고, 처리 가스 흐름 및 플라즈마가 정지된다(1250). 내부 표면 세척 과정은 기판 프로세싱 챔버의 내부 표면으로부터 불소를 세척하기 위해 수행될 수 있고 뿐만 아니라 고장 수리 및 유지 보수 과정으로부터 남은 다른 오염원을 세척하기 위해 수행될 수 있다.
여러 실시예로 개시되어 있지만, 당업자는, 여러 변경, 대안적 구조 및 상응 형태가 본 발명의 취지를 벗어남 없이 사용될 수 있음을 인식할 것이다. 추가로, 많은 알려진 프로세스 및 요소들은 본 발명을 불필요하게 왜곡시키는 것을 피하기 위해 기재되어 있지 않다. 따라서, 상기 기재는 본 발명의 범위를 제한하는 것으로 받아들여져서는 안된다.
값들의 범위가 제공되는 경우에, 상기 범위의 상한과 하한 사이에서, 달리 명백히 언급하지 않는다면 하한의 단위의 1/10로 각 사이 값은 또한 명확하게 기재되어 있다. 언급된 범위 내의 임의의 언급된 값 또는 사이 값과 상기 언급된 값 내 임의의 다른 언급된 값 또는 사이 값 사이의 각각의 더 작은 범위도 포함된다. 이 더 작은 범위의 상한과 하한은 독립적으로 이 범위에 포함되거나 배제될 수 있고, 두 한계 모두 또는 각각이 이 더 작은 범위에 포함되거나 어느 것도 포함되지 않는 각 범위도 또한 본 발명에 포함되고, 상기 언급된 범위에 임의의 명확하게 배제된 한계에 있다. 상기 언급된 범위가 하나 또는 둘 모두의 한계를 포함하는 경우에, 이 포함된 한계의 하나 또는 둘 모두를 배제하는 범위도 또한 포함된다.
본원에서 그리고 첨부된 청구범위에서 사용된 바와 같이, 단수 형태는, 달리 명백하게 언급하지 않는다면 복수를 포함한다. 따라서, 예를 들어, "일 프로세스"는 복수의 이러한 프로세스들을 포함하고, "상기 모터"는 하나 또는 그 초과의 모터들을 포함하며, 당업자는 이와 같은 방식으로 이해할 수 있다.
또한, 표현 "포함한다", "포함하는", "함유한다" "함유하는"은 이 명세서에서 그리고 하기 청구범위에 사용되는 경우에 언급된 특징부, 정수, 컴포넌트 또는 단계의 존재를 특정하는 것이고, 그러나 이들은 다른 특징부, 정수, 컴포넌트, 단계, 활동 또는 군의 하나 또는 그 초과의 존재 또는 부가를 배제하지 않는다.

Claims (25)

  1. 기판 프로세싱 시스템으로서:
    외부 챔버 압력과 상이할 수 있는 내부 챔버 압력을 유지할 수 있는 내부를 가지는 프로세싱 챔버;
    상기 프로세싱 챔버 내에서 기판을 지지하는 기판 받침대;
    상기 프로세싱 챔버 내에서 상기 프로세싱 챔버의 상부(top)에 있는 제 1 전도 표면;
    상기 프로세싱 챔버 내에서 상기 기판 받침대 및 상기 프로세싱 챔버의 하부(bottom) 중 적어도 하나에 있는 제 2 전도 표면;
    상부 영역 내의 제 1 플라스마 영역과 하부 영역 내의 제 2 플라스마 영역을 획정하기 위해 상기 제 1 전도 표면과 상기 제 2 전도 표면 사이에 위치되는 샤워헤드로서,
    상기 제 1 플라스마 영역은 상기 샤워헤드와 상기 제 1 전도 표면 사이에 위치하고,
    상기 제 2 플라스마 영역은 상기 샤워헤드와 상기 제 2 전도 표면 사이에 위치하고,
    상기 샤워헤드는 전기 전도 물질을 포함하고 그리고 전기 스위치로 전기 연결이 되지 않는 경우에 상기 제 1 전도 표면으로부터 전기 절연되고,
    상기 샤워헤드는 전기 스위치로 전기 연결이 되지 않는 경우에 상기 제 2 전도 표면으로부터 전기 절연되는, 샤워 헤드; 및
    상기 프로세싱 챔버 위에 위치하는 가스 인렛(inlet) 어셈블리로서,
    상기 가스 인렛 어셈블리는:
    프로세스 가스를 상기 프로세싱 챔버 내로 안내(conducting)하기 위하여 인렛 포트(inlet port) 내에 있는 외부 채널;
    상기 제 1 플라스마 영역으로 여기 상태(excited state)에 있는 반응물들을 포함하는 가스를 공급하도록 상기 제 1 플라스마 영역에 유체가 소통될 수 있게 커플링되어(fluidly coupled) 있고 상기 프로세싱 챔버의 외부에 있는 원격 플라즈마 시스템; 및
    처리 가스를 안내하기 위해 상기 인렛 포트 내에 있는 상기 외부 채널의 내측에 있는 내부 채널로서, 상기 내부 채널은, 상기 처리 가스가 상기 프로세스 가스를 여기하기 위한 상기 원격 플라즈마 시스템을 우회하면서 상기 프로세싱 챔버에 들어가도록 하는, 내부 채널을 포함하고,
    상기 프로세스 가스는 상기 인렛 포트에 있는 상기 외부 채널을 통하여 유입되고, 상기 처리 가스는 상기 인렛 포트에 있는 상기 내부 채널을 통하여 유입되는, 가스 인렛 어셈블리를 포함하고,
    상기 기판은 상기 제 2 플라스마 영역 내에서 프로세싱되는,
    기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 샤워헤드는 복수의 관통-홀들을 포함하는 상부 판 및 큰 홀들과 작은 홀들을 포함하는 하부 판을 포함하고, 상기 상부 판과 상기 하부 판은 상기 판들 사이에 체적부를 획정하고,
    상기 판들 사이에 획정된 상기 체적부로부터 유체적으로 분리된 유체 채널들이 형성되도록, 상기 하부 판의 상기 큰 홀들은 상기 상부 판의 상기 관통-홀들과 유체가 소통될 수 있게 커플링되어 있고,
    상기 샤워헤드는, 상기 상부 및 하부 판들 사이의 상기 체적부로 전달되어 상기 하부 판의 상기 작은 홀들을 통과하여 기판 프로세싱 영역으로 가는 가스를 수용하도록 구성되는,
    기판 프로세싱 시스템.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 샤워헤드는 실리콘-함유 전구체들을 상기 제 2 플라스마 영역으로 유입하기 위해, 상기 제 2 플라스마 영역으로 통하되 상기 제 1 플라스마 영역으로는 통하지 않는, 하나 또는 복수의 속 빈 체적부를 포함하는,
    기판 프로세싱 시스템.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 원격 플라즈마 시스템에서 생성된 여기된 종(excited species)은 상기 샤워헤드의 가장자리 근처의 홀을 통과하는,
    기판 프로세싱 시스템.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 플라스마 영역에서 상기 프로세스 가스와 상기 처리 가스 둘 다 전자적 상태(electronic states)의 변화가 없도록, 상기 샤워헤드와 상기 제 1 전도 표면 사이에 전기 연결이 이루어지는,
    기판 프로세싱 시스템.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 제 2 플라스마 영역에서 상기 프로세스 가스와 상기 처리 가스 둘 다 전자적 상태의 변화가 없도록, 상기 샤워헤드와 상기 제 2 전도 표면 사이에 전기 연결이 이루어지는,
    기판 프로세싱 시스템.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 전기 스위치는 상기 프로세싱 챔버 외부에 위치한,
    기판 프로세싱 시스템.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 제 2 전도 표면은 전기 접지에 유지되고, 상기 전기 스위치가 둘 이상의 가능한 위치들을 가지며,
    상기 전기 스위치의 제 1 위치는 라디오 주파수 파워 서플라이를 상기 제 1 전도 표면에 그리고 전기 접지를 상기 샤워헤드에 연결시켜 상기 제 1 플라스마 영역에 제 1 플라스마를 생성하고;
    상기 전기 스위치의 제 2 위치는 상기 라디오 주파수 파워 서플라이를 상기 샤워헤드에, 그리고 전기 접지(electrical ground)를 상기 제 2 전도 표면에 연결시켜 상기 제 2 플라스마 영역에 제 2 플라스마를 생성하는,
    기판 프로세싱 시스템.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 기판 프로세싱 시스템은,
    상기 프로세싱 챔버에 커플링된 펌핑 시스템으로서, 프로세스 가스로부터 제조되는 필름의 수소, 탄소 및 불소 성분(content)을 줄이고 성장 중인 필름으로부터 탄소를 제거하고 상기 프로세싱 챔버의 내부로부터 잔여 불소를 제거하도록 구성되는, 펌핑 시스템을 포함하는,
    기판 프로세싱 시스템.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 플라스마 영역 및 상기 제 2 플라스마 영역의 플라스마들은 용량성으로 커플링된,
    기판 프로세싱 시스템.
  11. 제 1 항 또는 제 2 항에 있어서,
    상기 가스 인렛 어셈블리가, O2, O3, N2O, NO, NO2, NH3, NH4OH, NxHy, 실란, 디실란, TSA, DSA, H2, N2, H2O2 및 수증기로 구성되는 군으로부터 선택된 하나 이상의 가스를 포함하는 프로세스 가스를 상기 프로세스 챔버에 공급하도록 작동될 수 있는 유체 공급 시스템에 유체가 소통될 수 있게 커플링된,
    기판 프로세싱 시스템.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 기판 받침대는 상기 제 2 플라스마 영역의 일부를 점유하는,
    기판 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 프로세싱 챔버는, 상기 제 2 플라스마 영역으로 프로세스 가스를 전달하도록 작동될 수 있고 그리고 상기 제 2 플라스마 영역 내에서 상기 기판 받침대 위에 위치한, 하나 또는 복수의 노즐들을 포함하는,
    기판 프로세싱 시스템.
  14. 제 13 항에 있어서,
    상기 하나 또는 복수의 노즐들은 탄소 및 실리콘 함유 전구체를 상기 프로세싱 챔버에 공급하도록 작동가능한 유체 공급 시스템에 유체가 소통될 수 있게 커플링된,
    기판 프로세싱 시스템.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
KR1020107027748A 2008-05-09 2009-04-22 유동성 유전 장치 및 프로세스 KR101573299B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US5208008P 2008-05-09 2008-05-09
US61/052,080 2008-05-09
US12/210,940 US20090277587A1 (en) 2008-05-09 2008-09-15 Flowable dielectric equipment and processes
US12/210,940 2008-09-15

Publications (2)

Publication Number Publication Date
KR20110010631A KR20110010631A (ko) 2011-02-01
KR101573299B1 true KR101573299B1 (ko) 2015-12-02

Family

ID=41265294

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107027748A KR101573299B1 (ko) 2008-05-09 2009-04-22 유동성 유전 장치 및 프로세스

Country Status (7)

Country Link
US (1) US20090277587A1 (ko)
JP (1) JP5444330B2 (ko)
KR (1) KR101573299B1 (ko)
CN (1) CN102204415A (ko)
SG (1) SG190637A1 (ko)
TW (1) TWI520659B (ko)
WO (1) WO2009137272A2 (ko)

Families Citing this family (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
WO2011009002A2 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
WO2011084812A2 (en) * 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012138866A1 (en) 2011-04-08 2012-10-11 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
EP2854160B1 (en) * 2012-05-23 2020-04-08 Tokyo Electron Limited Substrate processing method
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN107221487B (zh) * 2013-03-15 2019-06-28 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
JP2015185565A (ja) * 2014-03-20 2015-10-22 東京エレクトロン株式会社 シリコン酸化膜形成装置の洗浄方法、シリコン酸化膜の形成方法、及び、シリコン酸化膜形成装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN103887233B (zh) * 2014-04-08 2017-05-17 苏州大学 集成电路用低介电常数薄膜层的制备工艺
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR101994700B1 (ko) * 2017-09-28 2019-07-01 주식회사 유진테크 샤워헤드 및 기판처리장치
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109686682B (zh) * 2018-12-14 2020-11-03 中国科学院微电子研究所 一种平衡晶圆间热预算的方法
CN112368807A (zh) * 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
KR102362893B1 (ko) * 2019-11-27 2022-02-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
CN111599717B (zh) * 2020-05-09 2024-03-26 北京北方华创微电子装备有限公司 一种半导体反应腔室及原子层等离子体刻蚀机
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法
CN115318755B (zh) * 2021-05-10 2024-04-12 中国科学院微电子研究所 一种等离子体掺杂工艺腔的清洁方法
CN115354304B (zh) * 2022-08-25 2023-11-17 拓荆科技(上海)有限公司 半导体反应腔

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US94773A (en) * 1869-09-14 Improvement in lightning-rods
US277734A (en) * 1883-05-15 New jbksey
US159343A (en) * 1875-02-02 Improvement in stays for the bottoms of pantaloons
US80057A (en) * 1868-07-21 william hawksworth
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4848400A (en) * 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
US5125069A (en) * 1989-12-22 1992-06-23 Netherlands Health Sciences Blood warmer
US5081069A (en) * 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JPH06260428A (ja) * 1993-03-05 1994-09-16 Mitsubishi Electric Corp プラズマcvd装置
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) * 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3122601B2 (ja) * 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5966595A (en) * 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
DE19629705A1 (de) * 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR100773165B1 (ko) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
WO2001073159A1 (fr) * 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Procede et appareil permettant de former un film metallique
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US20050121145A1 (en) * 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7479210B2 (en) * 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2007324154A (ja) * 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD

Also Published As

Publication number Publication date
CN102204415A (zh) 2011-09-28
WO2009137272A3 (en) 2010-03-04
KR20110010631A (ko) 2011-02-01
TW201010518A (en) 2010-03-01
JP2011525299A (ja) 2011-09-15
SG190637A1 (en) 2013-06-28
WO2009137272A2 (en) 2009-11-12
TWI520659B (zh) 2016-02-01
JP5444330B2 (ja) 2014-03-19
US20090277587A1 (en) 2009-11-12

Similar Documents

Publication Publication Date Title
KR101573299B1 (ko) 유동성 유전 장치 및 프로세스
US8357435B2 (en) Flowable dielectric equipment and processes
US20130034666A1 (en) Inductive plasma sources for wafer processing and chamber cleaning
US7989365B2 (en) Remote plasma source seasoning
US9406523B2 (en) Highly selective doped oxide removal method
US9362130B2 (en) Enhanced etching processes using remote plasma sources
US20160160351A1 (en) Liner assembly and substrate processing apparatus having the same
KR102500194B1 (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
US9279184B2 (en) Method of forming a pattern and substrate processing system
US20100098882A1 (en) Plasma source for chamber cleaning and process
US20130288485A1 (en) Densification for flowable films
JP6175721B2 (ja) オゾン発生装置、及び、オゾン発生方法
CN101463473A (zh) 用于等离子体化学气相沉积反应器的喷淋板电极
TWI729495B (zh) 高溫rf加熱器座
JP2009206341A (ja) マイクロ波プラズマ処理装置、それに用いる誘電体窓部材および誘電体窓部材の製造方法
JP2017141159A (ja) オゾン発生装置、及び、オゾン発生方法
JP2018200877A (ja) 放電電極

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181112

Year of fee payment: 4