CN102203910A - 反应室 - Google Patents

反应室 Download PDF

Info

Publication number
CN102203910A
CN102203910A CN2009801440646A CN200980144064A CN102203910A CN 102203910 A CN102203910 A CN 102203910A CN 2009801440646 A CN2009801440646 A CN 2009801440646A CN 200980144064 A CN200980144064 A CN 200980144064A CN 102203910 A CN102203910 A CN 102203910A
Authority
CN
China
Prior art keywords
reative cell
gas
chamber
reaction compartment
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801440646A
Other languages
English (en)
Other versions
CN102203910B (zh
Inventor
M·吉文斯
M·G·古德曼
M·霍金斯
B·哈莱克
H·特霍斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN102203910A publication Critical patent/CN102203910A/zh
Application granted granted Critical
Publication of CN102203910B publication Critical patent/CN102203910B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Fluid Mechanics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种反应室,该反应室中界定有反应空间,其中该反应空间为可调节的,以形成流过该反应空间的气体的实质稳定的层流。该实质稳定的层流被配置为提高在反应室内处理的基板上的沉积均匀性,从而提供可预测的沉积轮廓。

Description

反应室
相关申请的交叉引用
本申请要求在2008年11月7日提交的临时专利申请号61/112,604的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及一种半导体处理系统(semiconductor processing system),且特别是涉及一种用于半导体处理系统的反应室(reaction chamber)。
背景技术
在诸如晶体管、二极管及集成电路半导体装置的处理中,通常在一半导体材料薄片(例如基板、晶片(wafer)或工件)上同时制作多个该种装置。在该种半导体装置的制造过程的半导体处理步骤的一实例中,通常将基板传送至反应室中,且在反应室中将材料薄膜或层沉积在晶片的外露表面上。一旦已将所期望厚度的半导体材料层沉积在基板的表面上,便将基板传送出反应室以供包装或进一步处理。
用以将材料薄膜沉积在基板表面的已知方法包括(但不限于)(常压或低压)气相沉积、溅镀(sputtering)、喷涂及退火(spray-and-anneal)及原子层沉积(atomic layer deposition)。例如,化学气相沉积(Chemical vapor deposition;CVD)系为通过某些气态化合物在反应室内发生热反应或分解,而在受热基板上形成稳定的化合物。反应室提供受控环境,以在基板上安全地沉积稳定化合物。
用于特定工具或过程的反应室的类型可视所执行过程的类型而不同。常用于CVD方法的一种反应室是水平流式冷壁型反应室(horizontal flow,cold-wall reaction chamber),其中该反应室包括大致细长的室,而欲处理的基板即插入该室中。将过程气体喷射入或引入反应室的一端,且沿纵向长度流动,穿过基板后自相对端排出反应室。当过程气体穿过反应室内的受热基板时,在基板的表面处发生反应而使一材料层沉积在基板上。
当气体沿水平流式反应室的长度流动时,流型(flow pattern)可能会不均匀,或者是因为气体接触反应室内的各种结构(例如基座、基板或反应室本身的壁)而形成局部区域的紊流。当局部区域的紊流与所处理的基板的表面交迭时,基板表面上的沉积均匀性将变差。与基板反应的过程气体所造成的局部区域紊流可能导致形成凸块、脊或其它会降低沉积均匀性的局部沉积物。由在至少有一部分通过反应室的是非层状且不稳定的气体流,因该沉积后的基板表面轮廓(profile)变得不可预测。
因该,需要一种改良的反应室,该改良的反应室是可调节的,以减少或消除穿过反应室的过程气体流有不均匀的现象或者是在局部区域为紊流,进而在所处理基板上提高沉积的均匀性或产生可预测的沉积轮廓。
发明内容
在本发明的一方面中,提供一种反应室。该反应室包括:上室,具有固定的上壁;以及第一入口,与上室流体连通。第一入口被配置为容许至少一种气体引入上室。该反应室亦包括具有下壁的下室。该下室与上室流体连通。该反应室还包括板,用于分隔上室的至少一部分与下室的至少一部分。该板与上壁以第一距离间隔开,且该板与下壁以第二距离间隔开。出口与第一入口相对地设置。上室为可调节的,以通过调整第一距离而在第一入口与出口之间形成实质稳定的气体层流。
在本发明的另一方面中,提供一种方法,使在半导体处理工具的反应器中的基板上的沉积均匀性达到最佳化。该方法包括提供分流式反应室。分流式反应室包括上室及下室,其中上室及下室通过板而至少部分地隔开,气体可引入上室与下室中。该方法还包括提供位于分流式反应室内的基座,其中基座设置在上室与下室之间。基座被配置为支撑至少一个基板。该方法还包括调节分流式反应室的尺寸,以在上室内形成实质稳定的气体层流。
在本发明的又一方面中,提供一种反应室。该反应室包括上壁、下壁及一对相对的侧壁,该一对相对的侧壁连接上壁与下壁,以在其中界定反应空间。入口位于反应空间的一端,且出口位于反应空间的相对端。可通过相对在下壁而调整上壁,以调节流过反应空间的至少一种气体的速度,进而形成流过反应空间的所述至少一种气体的实质稳定的层流。
在本发明的再一方面,提供一种反应室。该反应室包括反应空间,基板可支撑在该反应空间中,且反应空间具有体积。该反应室亦包括:入口,至少一种气体可透过入口引入反应空间中;出口,反应空间内的气体透过出口排出反应空间。该体积为可调节的,以提供流过反应空间的实质稳定的气体层流。
在本发明的另一方面中,提供一种反应室。该反应室包括由第一壁、第二壁、相对的侧壁、位于第一壁及第二壁的一端的入口、及位于第一壁及第二壁的相对端的出口所界定的体积。气体可以第一流动速度流过该体积。第一壁为可调整的,以改变体积,且体积的该种改变使第一速度会相应地增大或减小,进而得到流过体积的气体的第二速度。流过该体积的气体的第二速度在入口与出口之间提供实质稳定的气体层流。
在本发明的又一方面中,提供一种反应室。该反应室包括反应空间,该反应空间由一宽度、一长度及一高度所界定。该反应室还包括控制器,控制器被配置为形成气体的气体流动速度,其中所述气体可流过反应空间。宽度、长度、高度、及气体流动速度至少其中一者为可调整的,以形成流过反应空间的气体的实质稳定的层流。
在本发明的又一方面中,提供一种反应室。该反应室包括:上壁;下壁;一对相对的侧壁,连接上壁与下壁,以在其中界定出反应空间;入口,位于该反应空间的一端;以及出口,位于该反应空间的相对端。上壁与下壁以第一距离间隔开,相对的侧壁以第二距离间隔开,且入口与出口以第三距离间隔开。利用建模软件选择第一距离、第二距离及第三距离,以形成流过该反应空间的至少一种气体的实质稳定的层流。
为让本发明的上述和其它目的、特征和优点能更明显易懂,下文特举较佳实施例,并配合所附图式,作详细说明如下。如所意识到,本发明能够具有其他和不同实施例,并且其细节能够在各方面进行调整。因此,所述附图和描述本质上被认为是示意性而非限制性的。
附图说明
图1是半导体处理系统的立体图。
图2是图1的半导体处理系统的一部分的侧面剖视图。
图3是图2的半导体处理系统的一部分的俯视图。
图4是反应室的实施例的仰视立体图。
图5是图4的反应室的俯视立体图。
图6是沿图3的线6-6′的反应室的侧面剖视图。
图7是半导体处理系统的另一实施例的侧面剖视图。
具体实施方式
参见图1,其示出半导体处理系统10的示例性实施例。半导体处理系统10包括喷射器配件12、反应室配件14及排气口配件16。半导体处理系统10被配置为接收欲在反应室配件14内处理的基板18(图2)。喷射器配件12被配置为将各种气体引入反应室配件14,其中在反应室配件14内,在所引入的气体与基板18之间发生至少一种化学反应,基板18支撑在反应室配件14中。然后,经排气口配件16自反应室配件14移除未反应的过程气体及废气。
如图1与图2所示,喷射器配件12的实施例包括多个喷射器20,喷射器20可操作地连接至进气集管22。在一实施例中,进气集管22包括第一气体管线24及第二气体管线26。第一气体管线24被配置为将气体自喷射器20经进气集管22传送至反应室配件14的反应室30的上部。第二气体管线26可操作地连接至气体源且被配置为将气体自气体源经进气集管22传送至反应室配件14的反应室30的下部。本领域技术人员应理解,进气集管22可包括任何数量的用于载送欲引入反应室30的气体的气体管线。在一实施例中,排气口配件16可移除地连接至反应室配件14的反应室30的出口32。
在一实施例中,如图2与图3所示,反应室配件14包括反应室30、基板支撑配件34及基座环配件36。基板支撑配件34包括基座38、可操作地连接至基座38的基座支撑构件40、及可操作地连接至基座支撑构件40并由基座支撑构件40延伸的管子42。在操作过程中,基板18支撑在基座38上。基板支撑配件34系为可旋转的,若沉积过程中需要旋转基板18时,则基板支撑配件34用以在操作过程中旋转基板18。
在一实施例中,如图2与图3所示,基座环配件36包括基座环44及基座环支架46。基座环44被配置为围绕基座38,以消除或减少在处理过程中自基座38的外径向边缘所损失的热量。基座环支架46自反应室30的下表面延伸并可操作地连接至基座环44,以使基座环相对在基板支撑配件34保持处在实质固定的位置。
参见图2至图6,其示出为反应室30的示例性实施例。所示反应室30系为一水平流(horizontal flow)、单程(single pass)、分流式(split flow)冷壁型室。尽管所示反应室30是以分流式室为例,然本领域技术人员应理解,改良的反应室30可为分流式室或单室。在一实施例中,反应室30是由石英制成。图1与图2中所示的反应室30通常用于反应室30内的压力处在或接近大气压的过程。本领域技术人员应理解,以下所论述的概念是与所示的常压反应室30相关,但相同的概念亦可与反应室内的压力小在大气压的减压反应室结合。反应室30包括入口28、出口32及位于入口28与出口32之间的反应空间48。入口28及出口32由凸缘50围绕。喷射器配件12(图1)可操作地连接至围绕入口28的凸缘50,排气口配件16(图1)则可操作地连接至围绕出口32的凸缘50。反应室30包括上室52及下室54,其中上室52通过邻近入口28的第一板56及邻近出口32的第二板58而与下室54隔开。第一板56与第二板58是在纵向上间隔开,以留出配置基板支撑配件34及基座环配件36的空间。如图2所示,第一板56、第二板58、基板支撑配件34及基座环配件36界定出上室52与下室54之间的边界。在一实施例中,上室52与下室54流体连通。在另一实施例中,上室52与下室54之间实质上为密封隔绝。
在一实施例中,如图2至图6所示,反应室30包括上壁60、下壁62及在上壁60与下壁62之间延伸的相对的侧壁64。在一实施例中,上壁60与下壁62实质相互平行。在另一实施例中,上壁60与下壁62则不相互平行。例如,在一实施例中,上壁60(图未示出)在相对的侧壁64之间向上弯曲,使上壁60具有半圆形。在另一实施例中,上壁60自相对的侧壁64向上倾斜以形成纵向接合部,该纵向接合部实质平行在反应室30的纵轴。本领域技术人员应理解,反应室30的上壁60及/或下壁62可形成为平面壁或非平面壁。本领域技术人员亦应理解,上壁60及下壁62可形成为相同或不同的形状。上壁60、下壁62及侧壁64延伸在相对的凸缘50之间,以在反应室30内形成一体积。反应空间48是反应室30内的总体积的至少一部分,且过程气体与设置在反应空间48内的基板18反应,以在基板18上形成一沉积层。
在分流式反应室30的一实施例中,如图2至图6所示,反应空间48是大致由上壁60、第一板56、第二板58、基板支撑配件34、基座环配件36、侧壁64、入口28及出口32所界定的体积。反应空间48通常是分流式反应室30的上室52内所界定的体积。本领域技术人员应理解,在单室式反应室30(图未示出)的一实施例中,反应空间48是由上壁60、下壁62、侧壁64、入口28及出口32所界定。单室式反应室30的反应空间48可被界定为反应室30的总体积。反应空间48亦可被界定为紧邻所处理基板18的上外露表面的体积。反应空间48提供使基板18(图2)与引入反应室30的过程气体之间在其中进行化学反应的体积。
在一实施例中,如图2至图6所示,第一板56是与反应室30的侧壁64一体成型。在另一实施例中,第一板56则与反应室30分别形成,且第一板56在组装期间插入反应室30中。当分别形成时,例如是可将第一板56设置在与反应室30的侧壁64一体成型的一对突沿上(图未示出)。在一实施例中,第一板56以实质水平的方式定向,或以实质平行在反应室30的上壁60及下壁62的方式定向。在另一实施例中,第一板56则以与上壁60及下壁62之间夹有一夹角的方式定向。在一实施例中,第一板56的前缘实质对准围绕入口28的凸缘50的正面。在另一实施例中,第一板56的前缘自围绕入口28的凸缘50的正面向内间隔开。在邻近反应室30的入口28处的上室52与下室54之间,第一板56提供障壁。
在一实施例中,如图2至图4及图6所示,第一板56划分入口28,以为反应室30的上室52及下室54提供单独且不同的入口。在一实施例中,入口28可包括上入口70与下入口72,上入口70与上室52流体连通以引入气体在上室52中,下入口72则与下室54流体连通以引入气体在下室54中。在一实施例中,可将上入口70及/或下入口72分为多个相间隔的入口,其中每一相间隔的入口将气体引入分流式反应室30的同一室中。在一实施例中,第一板56的前缘实质对准邻近在入口28的凸缘50正面,使第一板56接触进气集管22(图2),藉该将来自第一气体管线24的气体与来自第二气体管线26的气体分开。
在一实施例中,第二板58与反应室30的侧壁64一体成型。在另一实施例中,如图2、图3及图6所示,第二板58则与反应室30分别形成,且第二板58在组装期间插入反应室30。当分别形成时,例如是可将第二板58设置在与反应室30的侧壁64一体成型的一对相对突沿66上。在一实施例中,第二板58是以实质水平的方式定向,或以实质平行在反应室30的上壁60及下壁62的方式定向。在另一实施例中,第二板58是以与上壁60及下壁62之间夹有一夹角的方式定向。在一实施例中,第二板58自紧邻基座环44的后缘的位置延伸。在一实施例中,第二板58的后缘实质对准围绕出口32的凸缘50的后表面。在另一实施例中,第二板58的后缘自围绕出口32的凸缘50的后表面向内间隔开。第二板58在邻近反应室30的出口32处的上室52与下室54之间提供障壁。
在一实施例中,如图2及图5所示,指向出口32的第二板58的边缘自出口32向内间隔开,使出口32包含单个开孔,自第一气体管线24及第二气体管线26引入反应室30的全部气体皆透过该开孔排出反应室30。在另一实施例中,第二板58的朝后表面与围绕出口32的凸缘50实质上共面,使第二板58提供上出口(图未示出)及下出口(图未示出),其中引入上室52的气体透过上出口排出反应室30并且引入下室54的至少一部分的气体透过下出口排出反应室30。
在一实施例中,如图2所示,第二板58包含自其向下延伸的挡板68。挡板68延伸至邻近或接触反应室30的下壁62的位置。在一实施例中,挡板68实质上延伸至相对的侧壁64之间的整个距离。在另一实施例中,挡板68仅延伸至相对的侧壁64之间的一部分宽度。挡板68被配置为在入口28及出口32之间阻挡下室54内的至少一部分气体流。在操作中,挡板68还可被配置为在下室54与上室52之间产生压力差,使下室54内的压力大在上室52内的压力,藉该迫使引入下室54的气体的至少一部分进入上室52。例如,下室54内的气体可通过流经基座环配件36与板56、58之间的间隙或流经基座环配件36与基板支撑配件34之间的间隙而流至上室52。通过迫使引入下室54的气体的至少一部分流入上室52,流入上室52的气体流可减少或消除可能由上室52流至下室54的过程气体。
喷射器20被配置为将至少一种气体引入至分流式反应室30的上室52。喷射器20经由入口28引入气体,以在入口28与出口32之间在反应空间48内形成气体的流动速度,其中气体的流动速度沿实质水平的流动路径。一般而言,可提供由计算机操作的控制器,用于控制来自各种来源及喷射器20的气体流。喷射器20是可调节的或可调整的,以在反应空间48内形成不同的流动速度。可别调整各个喷射器20,以修改或调整自喷射器排至反应室30的气体的流量剖面(flow profile)。例如,排出每一喷射器20的气体的速度可相同或不同,以形成自入口集管22引入反应室30的气体的总体流量剖面,该流量剖面在入口28与出口32之间具有实质上稳定的层流。在一实施例中,喷射器20为可调整的,以引入气体至反应室30的上室52中,以在反应室30内且在实质大气压下进行的过程中,形成在5厘米/秒-100厘米/秒、特别是在约15厘米/秒-40厘米/秒的气体流动速度。在另一实施例中,喷射器20为可调整的,以在反应室30内且在实质大气压下进行的过程中,形成在20厘米/秒-25厘米/秒的气体流动速度。本领域技术人员应理解,对在在减低的压力下或在低在大气压的压力下进行的过程,流经反应室30的气体的流动速度可有所不同。
改良的反应室30被配置为稳定气流,或减少及/或消除在入口28与出口32之间发生的过程气体的局部区域紊流,藉该提高在反应室30内进行处理的基板18上的沉积均匀性。改良的反应室30亦被配置为最佳化流经反应空间48的气流,以改善气体的层流。入口28与出口32之间的该种稳定气体层流使基板18表面上的沉积更为均匀。本领域技术人员应理解,所处理基板上的更均匀沉积将提供如下所述的沉积轮廓:尽管其并非必定为平面,但是只要是在稳定的气体层流流过基板的表面的条件下,其将至少为较可预测的轮廓。该改良的反应室30可用于处理任何规格的基板18,包括但不限于150毫米基板、200毫米基板、300毫米基板及450毫米基板。以下所讨论的反应室30的尺寸是针对用于处理300毫米基板的反应室30为例,但本领域技术人员应理解,用于在处理300毫米基板的反应室内改善层流及均匀沉积的最佳化技术同样可用于在被配置为处理其它规格基板的反应室30中,以改善气体的层流及基板上的均匀沉积。
在用于处理300毫米基板18的分流式反应室30的一示例性实施例中,如图2与图3所示,反应空间48是上室52内所涵盖的体积的至少一部分。相对的侧壁64之间提供一宽度W,且上壁60在上壁60与第一板56之间提供第一高度H1、并在上壁60与第二板58之间提供第二高度H2。在一实施例中,上壁60与第一板56之间的第一高度H1相同在上壁60与第二板58之间的第二高度H2。在另一实施例中,上壁60与第一板56之间的第一高度H1不同在上壁60与第二板58之间的第二高度H2。相对的侧壁64之间的宽度W宽至足以使基座38及基座环44配置在其间。在一实施例中,如第2图所示,反应空间48在沿反应室30的长度的方向上具有实质为矩形的截面,该截面由宽度W及各凸缘50之间的长度所界定。尽管反应室30的长度及宽度可加以修改,然而本领域技术人员应理解,由在受限在反应室30内将安装的工具尺寸,在各种反应室30中,反应室30的该等尺寸将可能保持实质恒定。
在一实施例中,上壁60与侧壁64一体成型,以界定出上室52的一部分。当上壁60与侧壁64一体成型时,上室52为可调节的,以在上室52内的入口28与出口32之间形成实质稳定的气体层流。在一实施例中,可利用建模程序调节上室52,该建模程序对上室52内的气流进行建模以最佳化流过上室的气体流。在最佳化流过反应室30的上室52的气流的过程中,可修改第一高度H1及第二高度H2、宽度W、反应空间48的长度、及/或上室52内的流经入口28与出口32之间的气体的速度。该建模程序可用于预先确定上室52的尺寸,以最佳化流过上室52的气体流。该种建模亦可用于预先确定由气体喷射器20引入反应室的气体的气体速度及流量剖面。
在用于调节上室52的一实施例中,上室52的尺寸是固定的,且对来自喷射器20的气体速度及流量剖面进行建模,以最佳化来自每一喷射器20的流动速度及排出入口集管22的气体的流量剖面,进而在入口28与出口32之间提供实质稳定的气体层流。在用于调节上室52的另一实施例中,来自每一喷射器20的流动速度及排出入口集管22的气体的流量剖面是固定的,且对上室52的尺寸进行建模,以使尺寸最佳化,进而在入口28与出口32之间提供实质稳定的气体层流。
在用于调节上室52的再一实施例中,可修改第一高度H1及第二高度H2,同时亦修改引入上室52的气体的流动速度及流量剖面。通过调整上壁60以增大或减小第一高度H1及第二高度H2而对反应室30的上壁60进行建模。由在是相对在第一板56及第二板58来调整上壁60的高度,故排出喷射器的气体的速度亦得到调整,以保持排出入口集管22的气体的预定流量剖面或最佳化排出入口集管22的气体的预定流量剖面。例如,以形成预定流动速度为约20厘米/秒-25厘米/秒的以实质稳定层流形式流过上室52的过程气体为例,当上壁60被建模成与第一板56及第二板58相距为更大距离时,调整喷射器20以引入更多的气体至上室52内,藉该保持流过上室52的气体的预定流动速度。可通过比较流过上室52的各气体的流型而调节上室52,以最佳化第一高度H1及第二高度H2,进而以预定流动速度来形成实质稳定的层流。本领域技术人员应理解,可修改及建模(例如,例如建模软件)上室的尺寸、来自喷射器20的气体速度、排出入口集管22的气体的流量剖面、或其任意组合,以最佳化上室52内的气流,进而在所处理基板的表面提供实质稳定的气体层流,藉该形成沉积在基板上的实质均匀的材料层。
在一实施例中,上室52(或整个反应室30)的尺寸在操作过程中是固定不变的,且通过使用建模软件来预先确定反应空间48的尺寸,而在操作的前确定对上室60的调整。在一实施例中,在处理过程中,上室60为可移动的,例如通过搭配使用一顶篷嵌件80(如下所述)与一自动化位置控制系统而达成。
在采用错流式(cross-flow)反应室30(诸如图2所示的反应室)的实施例中,基板18自正面的上入口70送入反应室30,在该等实施例中,可通过调整上壁60与第一及第二板56、58之间的相对距离而最佳化反应室30的上室52的体积。本领域技术人员应理解,不应减小第一高度H1,否则基板18将无法载入上室52并设置在基座38上。第一高度H1应至少大到足以容许透过上入口70插入及移除一末端执行器(图未示出)。然而,对在基座38的位置较低的反应室(图未示出)而言,由在基板18设置在基座38上的实质低在第一板56及第二板58的位置处,因该可将第一高度H1及第二高度H2减小至第一板56及第二板58几乎触及上壁60、但仍在其间保持一较小间隙为止,以容许过程气体流过上室52。
在一实施例中,通过使上壁60保持在使第一高度H1及第二高度H2保持固定值的预定位置而可调节上室52,并调整喷射器20以修改引入上室52的流动速度及/或流量剖面。调整喷射器20以增大或减小气体的流动速度,其中气体经入口集管22流入上室52,并对流经反应室的所得流型进行建模。
在又一实施例中,可通过调整上壁60相对在第一板56及第二板58的位置以修改第一高度H1及第二高度H2以及通过调整喷射器20来对流过上室52的气体的流型进行建模,藉该可调节上室52,其中将上室52的体积以及引入上室52的气体的流动速度及流量剖面最佳化,以形成流过上室52的实质稳定的气体层流。
在调节用于处理300毫米基板的分流式反应室30的上室52的一示例性过程中,上壁60在第一板56及第二板58上方并与其间隔开,以提供约1.2英寸(3.05厘米)的第一高度H1及第二高度H2并在相对的侧壁64之间提供约17英寸(43.18厘米)的宽度W,其中上室52的体积约为590立方英寸(9.67升)。利用约为20厘米/秒-25厘米/秒的气体流动速度及上述示例性尺寸进行的流体动力学建模(dynamic modeling)显示,形成穿过上室52且实质稳定的层流,从而使在反应室30内处理的基板上的沉积均匀性达到最佳化。在调节用于处理300毫米基板的分流式反应室30的上室52的另一示例性过程中,上壁60在第一板56及第二板58上方并与其间隔开,以提供约0.8英寸(2.03厘米)的第一高度H1及第二高度H2并在相对的侧壁64之间提供约17英寸(43.18厘米)的宽度,其中上室52的体积约为393立方英寸(6.44升)。利用约为20厘米/秒-25厘米/秒的气体流动速度及上述示例性尺寸进行的流体动力学建模显示,形成穿过上室52且实质稳定的层流,从而使在反应室30内处理的基板上的沉积均匀性达到最佳化。本领域技术人员应理解,可利用第一高度H1及第二高度H2与引入上室52的流动速度及流量剖面的任意组合来形成穿过上室52的实质稳定的气体层流,以在在反应室30中制作的基板上提供最佳的沉积均匀性。
一旦完成对上室52的建模而使流过上室52的气体流达到最佳化,因而形成实质稳定的层流以在基板上形成更均匀的沉积,便可将反应室30建造成在建模过程中所确定的尺寸。在反应室30安装在半导体处理系统10中的后,将喷射器20校准至在建模过程中所确定的设定值,以形成所确定的流动速度及流量剖面。本领域技术人员应理解,为了使流过上室52的气体流达到完全最佳化,可能需要对喷射器20进行更精细的调整,以在在反应室30中处理的基板18上形成更均匀的沉积。
在另一实施例中,如图7所示,将顶篷嵌件80嵌入反应室30的上室52中。顶篷嵌件80为上室52内的反应空间48提供可调整的上边界。顶篷嵌件80相对在第一板56及第二板58为可移动的。在一实施例中,可手动调整顶篷嵌件80,以改变高度H1及高度H2。在另一实施例中,可通过一机械调整器(图未示出)以机械方式调整顶篷嵌件80,以在各基板处理循环期间或在一基板处理循环期间调整顶篷嵌件80。本领域技术人员将容易了解,有许多种不同的机械及/或机电结构及装置可用于调整顶篷嵌件80的位置以改变高度H1及高度H2,并且在虑及尺寸与出入条件下,则可采用任何该等结构及装置。顶篷嵌件80为可调整的,以通过避免来自喷射器20的过程气体流过顶篷嵌件80与反应室30的上壁60之间来增大或减小上室52的有效体积。通过调整顶篷嵌件80的相对位置可调节上室52,以使流过反应空间48的气体流型达到最佳化,进而在入口28与出口32之间形成实质线性的流型。顶篷嵌件80使得能够针对不同的过程或过程配方而可轻易地调节上室52,而无需制作及安装全新的反应室30。亦可调整顶篷嵌件80以控制前后及/或左右斜度,使顶篷嵌件80实质不平行在上壁60或第一板56及第二板58。以该方式调整顶篷嵌件80的能力可有助在控制或消除上室52内的过程损耗(process depletion)或其它不对称效应(asymmetric effects)。
在一实施例中,通过利用顶篷嵌件80使基板18上的沉积均匀性达到最佳化来调节上室52的步骤包括:在顶篷嵌件80处在第一高度H1时,处理反应室30内的基板18,以确定基板18上的沉积均匀性。然后,将顶篷嵌件80调整至第二高度H2,并处理另一基板18,以确定基板18上的沉积均匀性。可对基板18进行进一步的处理,以进一步使引入反应空间48内的气体的流动速度及流量剖面达到最佳化,从而在在反应室30中处理的基板18上形成更均匀的沉积。本领域技术人员应理解,一旦确定出能达到完全最佳化的上室52的尺寸及/或形状,便可将顶篷嵌件80固定(即不可移动的)在反应室30内,或者顶篷嵌件80仍为可调整的,以针对反应室30内的不同过程或配方进行进一步最佳化。本领域技术人员亦应理解,一旦确定出顶篷嵌件80相对在完全最佳化的上室52的位置,便可制造如下反应室30并将其安装在半导体处理系统10中:该反应室30具有处在完全最佳化位置的上室52,其中反应室30的上壁60位于顶篷嵌件80的位置上。
虽然本发明已揭露优选实施例,但是应该理解其并非用以限定本发明,在不脱离本发明的条件下可进行修改。本发明的范围由所附权利要求限定,在所述权利要求的意思内的所有设备、处理和方法不论是字面上还是等同形式地都包括在内。

Claims (28)

1.一种反应室,包括:
上室,具有固定的上壁;
第一入口,与所述上室流体连通,所述第一入口被配置为容许至少一种气体引入所述上室;
下室,具有下壁,所述下室与所述上室流体连通;
板,分隔所述上室的至少一部分与所述下室的至少一部分,所述板与所述上壁以第一距离间隔开,且所述板与所述下壁以第二距离间隔开;以及
出口,与所述第一入口相对地设置;
其中所述上室为可调节的,以通过最佳化所述第一距离而在所述第一入口与所述出口之间形成实质稳定的气体层流。
2.根据权利要求1所述的反应室,其中顶篷嵌件设置在所述板与所述上壁之间,所述顶篷嵌件为可调整的,以最佳化所述第一距离。
3.根据权利要求2所述的反应室,其中所述顶篷嵌件可通过手动调整来调整。
4.根据权利要求2所述的反应室,其中所述顶篷嵌件可通过机械方式来调整。
5.根据权利要求1所述的反应室,其中利用建模程序,通过预先确定所述第一距离而调节所述上室。
6.根据权利要求1所述的反应室,其中所述反应室经配置,以使引入所述下室的气体的至少一部分流入所述上室。
7.一种方法,使在半导体处理工具的反应器中的基板上的沉积均匀性达到最佳化,所述方法包括:
提供分流式反应室,所述分流式反应室包括上室及下室,所述上室及所述下室通过板而至少部分地隔开,将气体引入所述上室与所述下室中;
提供位于所述分流式反应室内的基座,其中所述基座设置在所述上室与所述下室之间,且所述基座被配置为支撑至少一个基板;以及
调节所述分流式反应室的尺寸,以在所述上室内形成实质稳定的气体层流。
8.根据权利要求7所述的方法,其中调节所述分流式反应室包括:对所述分流式反应室进行建模,以预先确定所述反应室的尺寸,进而形成流过所述反应室的实质层流。
9.根据权利要求7所述的方法,其中所述调节步骤包括调整界定所述上室的至少一个壁,以形成流过所述上室的实质层流。
10.一种反应室,包括:
上壁、下壁以及一对相对的侧壁,所述一对相对的侧壁连接所述上壁与所述下壁,以在其中界定反应空间;
入口,位于所述反应空间的一端;
出口,位于所述反应空间的相对端;以及
其中通过相对在所述下壁而调整所述上壁可调节流过所述反应空间的至少一种气体的速度,以形成流过所述反应空间的所述至少一种气体的实质稳定的层流。
11.根据权利要求10所述的反应室,其中所述上壁、所述下壁以及所述相对的侧壁在操作过程中彼该相对固定,并且在操作前,利用建模软件确定所述上壁相对在所述下壁的调整,以预先确定所述反应空间的尺寸。
12.根据权利要求10所述的反应室,其中在处理过程中所述上壁为可移动的,以使所述上壁相对在所述下壁为可调整的,进而形成流过所述反应空间的所述至少一种气体的实质稳定的层流。
13.一种反应室,包括:
反应空间,其可支撑基板在所述反应空间中,所述反应空间具有体积;
入口,至少一种气体透过所述入口引入所述反应空间中;
出口,所述反应空间内的气体透过所述出口排出所述反应空间;以及
其中所述体积为可调节的,以提供流过所述反应空间的实质稳定的气体层流。
14.一种反应室,包括由第一壁、第二壁、相对的侧壁、入口以及出口所界定的体积,其中所述入口位于所述第一壁及所述第二壁的一端以及所述出口位于所述第一壁及所述第二壁的相对端,其中气体可以第一流动速度及第一流量剖面流过所述体积,并且其中所述第一壁为可调整的,以改变所述体积,且所述体积的该种改变引起所述第一速度及所述第一流量剖面的相应增大或减小,进而得到流过所述体积的所述气体的第二速度及第二流量剖面,且流过所述体积的所述气体的所述第二速度及所述第二流量剖面在所述入口与所述出口之间提供实质稳定的气体层流。
15.根据权利要求14所述的反应室,其中所述第一壁、所述第二壁及所述相对的侧壁在操作过程中彼该相对固定,且在操作前利用建模软件调整所述第一壁。
16.根据权利要求14所述的反应室,其中在处理过程中所述第一壁为可移动的,以改变所述体积。
17.根据权利要求14所述的反应室,其中所述第二速度约为5厘米/秒-100厘米/秒。
18.根据权利要求14所述的反应室,其中所述第二速度约为20厘米/秒-25厘米/秒。
19.一种反应室,包括:
反应空间,由一宽度、一长度及一高度所界定;
控制器,被配置为形成气体的气体流动速度,其中所述气体可流过所述反应空间;以及
其中所述宽度、所述长度、所述高度及所述气体流动速度至少其中一者为可调整的,以形成流过所述反应空间的所述气体的实质稳定的层流。
20.根据权利要求19所述的反应室,其中可增大或可减小所述气体流动速度,以提供流过所述反应空间的所述气体的实质稳定的层流。
21.根据权利要求19所述的反应室,其中所述高度约为2.16厘米,所述长度约为63厘米,且所述宽度约为27.8厘米。
22.根据权利要求21所述的反应室,其中所述气体的所述气体流动速度在约10厘米/秒与18厘米/秒之间。
23.根据权利要求21所述的反应室,其中所述气体的所述气体流动速度约为14厘米/秒。
24.根据权利要求19所述的反应室,其中所述高度约为1.2英寸,所述长度约为29.87英寸,所述宽度约为17英寸,且流过所述反应空间的所述气体流动速度约为22.5厘米/秒。
25.根据权利要求19所述的反应室,其中所述气体的所述气体流动速度在约15厘米/秒与40厘米/秒之间。
26.根据权利要求19所述的反应室,其中所述气体的所述气体流动速度约为22.5厘米/秒。
27.一种用于调节反应室的方法,包括:
提供由一宽度、一长度及一高度所界定的反应空间;
以一气体流动速度,将至少一种气体引入所述反应空间中;以及
调整所述宽度、所述长度、所述高度及所述气体流动速度至少其中一者,以提供流过所述反应空间的所述至少一种气体的实质稳定的层流。
28.一种反应室,包括:
上壁;
下壁,所述上壁与所述下壁以第一距离间隔开;
一对相对的侧壁,连接所述上壁与所述下壁,以在其中界定反应空间,所述相对的侧壁以第二距离间隔开;
入口,位于所述反应空间的一端;以及
出口,位于所述反应空间的相对端,所述入口与所述出口以第三距离间隔开;
其中利用建模软件选择所述第一距离、所述第二距离及所述第三距离,以形成流过所述反应空间的至少一种气体的实质稳定的层流。
CN200980144064.6A 2008-11-07 2009-11-02 反应室 Active CN102203910B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11260408P 2008-11-07 2008-11-07
US61/112,604 2008-11-07
PCT/US2009/062974 WO2010053866A2 (en) 2008-11-07 2009-11-02 Reaction chamber

Publications (2)

Publication Number Publication Date
CN102203910A true CN102203910A (zh) 2011-09-28
CN102203910B CN102203910B (zh) 2014-12-10

Family

ID=42153505

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980144064.6A Active CN102203910B (zh) 2008-11-07 2009-11-02 反应室

Country Status (6)

Country Link
US (1) US20100116207A1 (zh)
EP (1) EP2353176A4 (zh)
KR (1) KR101714660B1 (zh)
CN (1) CN102203910B (zh)
TW (1) TWI490919B (zh)
WO (1) WO2010053866A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105964192A (zh) * 2015-03-11 2016-09-28 Asm Ip控股有限公司 气相反应器和气相反应器系统
CN114457323A (zh) * 2022-04-12 2022-05-10 成都纽曼和瑞微波技术有限公司 一种调节组件、反应腔装置及微波等离子体气相沉积系统
CN115011949A (zh) * 2021-03-04 2022-09-06 汉民科技股份有限公司 前驱物循环式原子层沉积设备与方法

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
US20130052806A1 (en) * 2011-08-22 2013-02-28 Soitec Deposition systems having access gates at desirable locations, and related methods
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10145011B2 (en) 2015-03-30 2018-12-04 Globalwafers Co., Ltd. Substrate processing systems having multiple gas flow controllers
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR20180128515A (ko) * 2016-04-25 2018-12-03 어플라이드 머티어리얼스, 인코포레이티드 자기-조립 단분자층 프로세스들을 위한 화학물질 전달 챔버
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN107385417A (zh) * 2017-06-16 2017-11-24 南京工业大学 一种反应腔导流装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113025995B (zh) * 2019-12-09 2023-05-09 苏州新材料研究所有限公司 一种mocvd反应系统
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114457321B (zh) * 2022-01-21 2023-03-28 深圳市纳设智能装备有限公司 一种进气装置及cvd设备

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
JP3038524B2 (ja) * 1993-04-19 2000-05-08 コマツ電子金属株式会社 半導体製造装置
JPH07147236A (ja) * 1993-11-25 1995-06-06 Sony Corp 有機金属化学気相成長法
US5573566A (en) * 1995-05-26 1996-11-12 Advanced Semiconductor Materials America, Inc. Method of making a quartz dome reactor chamber
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JP3917237B2 (ja) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
WO1999023276A1 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Long life high temperature process chamber
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
JP3132489B2 (ja) * 1998-11-05 2001-02-05 日本電気株式会社 化学的気相成長装置及び薄膜成膜方法
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
MY120869A (en) * 2000-01-26 2005-11-30 Matsushita Electric Ind Co Ltd Plasma treatment apparatus and method
US20030037723A9 (en) * 2000-11-17 2003-02-27 Memc Electronic Materials, Inc. High throughput epitaxial growth by chemical vapor deposition
DE10057134A1 (de) * 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
US6626997B2 (en) * 2001-05-17 2003-09-30 Nathan P. Shapiro Continuous processing chamber
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR20040070244A (ko) * 2001-12-20 2004-08-06 아이사팩 홀딩 에스에이 플라즈마 증착에 의한 대상물 처리장치
US20030116432A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Adjustable throw reactor
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
JP3638936B1 (ja) * 2003-10-06 2005-04-13 シャープ株式会社 気相成長方法および気相成長装置
US7108753B2 (en) * 2003-10-29 2006-09-19 Asm America, Inc. Staggered ribs on process chamber to reduce thermal effects
US7169233B2 (en) * 2003-11-21 2007-01-30 Asm America, Inc. Reactor chamber
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
DE102006018515A1 (de) * 2006-04-21 2007-10-25 Aixtron Ag CVD-Reaktor mit absenkbarer Prozesskammerdecke
DE102007009145A1 (de) * 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
US20100000470A1 (en) * 2008-07-02 2010-01-07 Asm Japan K.K. Wafer-positioning mechanism

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105964192A (zh) * 2015-03-11 2016-09-28 Asm Ip控股有限公司 气相反应器和气相反应器系统
CN105964192B (zh) * 2015-03-11 2022-03-08 Asm Ip控股有限公司 气相反应器和气相反应器系统
CN115011949A (zh) * 2021-03-04 2022-09-06 汉民科技股份有限公司 前驱物循环式原子层沉积设备与方法
CN114457323A (zh) * 2022-04-12 2022-05-10 成都纽曼和瑞微波技术有限公司 一种调节组件、反应腔装置及微波等离子体气相沉积系统

Also Published As

Publication number Publication date
WO2010053866A3 (en) 2010-08-19
US20100116207A1 (en) 2010-05-13
EP2353176A4 (en) 2013-08-28
KR20110088544A (ko) 2011-08-03
WO2010053866A2 (en) 2010-05-14
KR101714660B1 (ko) 2017-03-22
TWI490919B (zh) 2015-07-01
CN102203910B (zh) 2014-12-10
TW201023250A (en) 2010-06-16
EP2353176A2 (en) 2011-08-10

Similar Documents

Publication Publication Date Title
CN102203910B (zh) 反应室
US9695508B2 (en) Liner assembly for chemical vapor deposition chamber
CN1643179B (zh) Ald装置和方法
CN104828826B (zh) 通过利用四氯硅烷减少壁上沉积的流化床反应器生产硅
CN102325921B (zh) 带有圆柱形进气机构的金属有机化合物化学气相沉积反应器
CN102597307B (zh) Cvd方法和cvd反应器
CN101268213B (zh) 在常压下连续化学气相沉积的设备和方法及其用途
US8168001B2 (en) Film-forming apparatus and film-forming method
TWI537416B (zh) A CVD reactor with a strip inlet region and a method of depositing a layer on the substrate in such a CVD reactor
US20080083372A1 (en) Heat processing apparatus for semiconductor process
CN102751216B (zh) 热处理装置
EP1308537A2 (en) System and method for preferential chemical vapor deposition
CN102110572A (zh) 等离子处理装置
US10793949B2 (en) Substrate processing apparatus and substrate processing method using the same
CN111465714B (zh) 成膜装置
CN103858212A (zh) 气化器
CN104975271A (zh) 进气装置以及半导体加工设备
US20160145766A1 (en) Epitaxial reactor
CN104233230B (zh) 用于化学气相沉积的反应装置及反应制作工艺
CN101687131B (zh) 集成式抽真空器
CN102714147A (zh) 气相沉积装置
TWI776114B (zh) 半導體製造裝置
CN103215568B (zh) 气体供给头和基板处理装置
CN110184652A (zh) 一种改善硅片翘曲度的化学气相沉积装置及方法
CN117316817A (zh) 一种分气机构及半导体器件的加工设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20210409

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.

TR01 Transfer of patent right