CN101999155A - 单能中性束激活的化学处理系统及其使用方法 - Google Patents

单能中性束激活的化学处理系统及其使用方法 Download PDF

Info

Publication number
CN101999155A
CN101999155A CN2009801101859A CN200980110185A CN101999155A CN 101999155 A CN101999155 A CN 101999155A CN 2009801101859 A CN2009801101859 A CN 2009801101859A CN 200980110185 A CN200980110185 A CN 200980110185A CN 101999155 A CN101999155 A CN 101999155A
Authority
CN
China
Prior art keywords
plasma
processing system
coupled
chemical processing
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801101859A
Other languages
English (en)
Other versions
CN101999155B (zh
Inventor
陈立
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101999155A publication Critical patent/CN101999155A/zh
Application granted granted Critical
Publication of CN101999155B publication Critical patent/CN101999155B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Particle Accelerators (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明描述了化学处理系统和使用化学处理系统用单能空间电荷中性化的中性束激活的化学处理处理衬底的方法。化学处理系统包括第一等离子体室,其用于在第一等离子体电位下形成第一等离子体;和第二等离子体室,其用于在高于第一等离子体电位的第二等离子体电位下形成第二等离子体,其中使用来自第一等离子体的电子通量来形成第二等离子体。此外,化学处理系统包括衬底支架,其配置为将衬底定位在第二等离子体室中。

Description

单能中性束激活的化学处理系统及其使用方法
技术领域
本发明涉及用于处理衬底的方法和系统,更具体的,涉及用于执行衬底的中性束激活的化学处理的方法和系统。
背景技术
在半导体处理过程中,经常使用等离子体,通过沿着半导体衬底上形成的细线或在半导体衬底上形成的过孔(或触点)内促进材料的非均匀去除,以辅助蚀刻过程。上述等离子体辅助蚀刻的示例包括反应离子蚀刻(RIE),其实质上是离子激活的化学蚀刻处理。
但是,尽管RIE已经使用了数十年,RIE的成熟伴随着下列几个问题:(a)广泛的离子能量分布;(b)各种感应充电副作用;和(c)特征形状负载效应(即,微负载)。一种缓解上述问题的方法是使用中性束处理。
在基本没有任何中性热物种(例如化学反应物、添加剂和/或蚀刻剂)参与的情况下产生绝对的中性束处理。通过入射(定性高能)中性物种的动能来激活衬底上的化学处理(例如蚀刻处理),入射(定向高能和反应性)中性物种同时用作反应物或蚀刻剂。
因为中性束处理并不涉及与热物种(其用作RIE中的蚀刻剂)有关的通量角变化效应,所以中性束处理的一个自然结果是没有微负载。但是,缺少微负载的不利后果是实现了统一的蚀刻效率,即,最大蚀刻量是统一的,或者一条入射中性束名义上只促进一个蚀刻反应。相反,在由一种高能入射离子激活的情况下,RIE中大量的热中性物种(蚀刻剂)都能参与膜的蚀刻。因此,在被迫承受微负载的情况下,动能激活(热中性物种)化学蚀刻可以实现10、100甚至1000的蚀刻效率。
虽然已经进行了很多努力来消除这些缺点,即,蚀刻效率、微负载、电荷损伤等,但是其仍然存在,并且保持了蚀刻一致性,需要探索对该问题的新的实用方案。
发明内容
本发明涉及用于处理衬底的方法和系统,更具体的,涉及用于执行衬底的中性束激活的化学处理的方法和系统。
此外,本发明涉及用于用空间电荷中性化的中性束激活的化学处理处理衬底的化学处理系统和方法。所述化学处理系统包括第一等离子体室,其用于在第一等离子体电位下形成第一等离子体;和第二等离子体室,其用于在高于第一等离子体电位的第二等离子体电位下形成第二等离子体,其中使用来自第一等离子体的电子通量来形成第二等离子体。此外,化学处理系统包括衬底支架,其配置为将衬底定位在第二等离子体室中。
根据一个实施例,描述了配置为处理衬底的化学处理系统,其包括:等离子体产生室,其包括第一等离子体区,所述第一等离子体区配置为在第一压力下接收第一处理气体;处理室,其包括第二等离子体区,所述第二等离子体区设置在所述第一等离子体区的下游,并且被配置为在第二压力下从所述第一等离子体区接收所述第一处理气体;第一气体喷射系统,其耦合到所述等离子体产生室,并配置为将所述第一处理气体引入所述第一等离子体区;等离子体产生系统,其耦合到所述等离子体产生室,并配置为从所述第一处理气体在所述第一等离子体区中在第一等离子体电位下产生第一等离子体;分隔部件,其设置在所述第一等离子体区和所述第二等离子体区之间,其中所述分隔部件包括一个或多个开口,所述开口配置为允许电子通量从所述第一等离子体区到所述第二等离子体区,以在第二等离子体电位下形成第二等离子体;偏压电极系统,其耦合到所述处理室,并配置为将所述第二等离子体电位升高到高于所述第一等离子体电位,以控制所述电子通量;衬底支架,其耦合到所述处理室,并配置为支撑邻近所述第二等离子体区的所述衬底;和真空泵系统,其耦合到所述处理室,并配置为将抽吸所述处理室中的所述第二等离子体区。
根据另一实施例,描述了用于处理衬底的方法,其包括:将所述衬底放置在配置为用等离子体处理所述衬底的处理室中;在第一等离子体电位下在第一等离子体区中形成第一等离子体;使用来自所述第一等离子体区的电子通量,在第二等离子体电位下在第二等离子体区中形成第二等离子体;将所述第二等离子体电位升高到高于所述第一等离子体电位,以控制所述电子通量;控制所述处理室中的压力;和将所述衬底暴露于素数第二等离子体。
附图说明
在附图中:
图1A示出了根据实施例的化学处理系统;
图1B示出了在图1A中所示的化学处理系统中执行的化学处理的条件;
图2示出了根据实施例的化学处理系统;
图3示出了根据另一实施例的化学处理系统;
图4示出了根据另一实施例的化学处理系统;和
图5示出了根据另一实施例的操作配置为处理衬底的等离子体处理系统的方法。
具体实施方式
在下面的描述中,为了便于彻底理解本发明并且为了说明而不限于此,阐明了具体细节,例如,等离子体处理系统的特定几何形状和对系统组件的各种描述。但是,应当理解,可以用不按这些具体细节的其他实施例来实现本发明。
尽管如此,应当意识到,虽然说明了一般概念的创新特性,但是说明书中包含了同样具有创新特性的特征。
根据一个实施例,提供了用于执行衬底的中性束激活的化学处理的方法和系统,除其他外,以缓解上面确定的问题中的一部分或全部。中性束激活的化学处理包括动能激活(即,热中性物种),并且因此实现了高活性或高蚀刻率。但是,在此提供的中性束激活的化学处理同样实现了单能激活、空间电荷中性和硬件实用性。
现在参考附图,其中在几个附图中,相似的附图标记指示相同或相应的部分,图1A和1B描绘了根据实施例的化学处理系统的简图。如图1A所示,描述了配置为执行衬底的空间电荷中性化的中性束激活的化学处理的化学处理系统1。
如图1A和1B所示,化学处理系统1包括第一等离子体室10,其用于在第一等离子体电位(Vp,1)下形成第一等离子体12;和第二等离子体室20,其用于在高于第一等离子体电位的第二等离子体电位(Vp,2)下形成第二等离子体22。通过在第一等离子体室10中将功率(例如射频(RF)功率)耦合到可电离气体而形成第一等离子体12,使用来自第一等离子体12的电子通量(例如,高能电子(ee)电流,jee)形成第二等离子体22。此外,化学处理系统1包括衬底支架,其配置为将在第二等离子体室20中直流(DC)接地或浮动接地的衬底25放置成暴露于在第二等离子体电位下的第二等离子体22。
第一等离子体室10包括等离子体产生系统16,其配置为燃烧并加热第一等离子体12。可以通过任何通常的等离子体产生系统来加热第一等离子体12,包括但不限于,电感耦合等离子体(ICP)源、变换耦合等离子体(TCP)源、电容耦合等离子体(CCP)源、电子回旋共振(ECR)源、螺旋波源、表面波等离子体源、具有开槽平面天线的平面波等离子体源等。尽管可以通过任何等离子体源来加热第一等离子体12,但是优选通过在等离子体电位Vp,1中产生减小的或最小的波动的方法来加热第一等离子体12。例如,ICP源是产生减小的或最小的Vp,1波动的实用技术。
此外,第一等离子体室10包括直流(DC)导电接地电极14,其具有充当与第一等离子体12接触的边界的导电表面。DC导电接地电极14耦合到DC接地线。DC导电接地电极14用作在第一等离子体电位(Vp,1)下由第一等离子体12驱动的离子槽。尽管图1A中示出了一个DC导电接地电极14,但是化学处理系统1可以包括一个或多个DC导电接地电极。
尽管不是必需的,但是优选DC导电接地电极14包括相对大的面积与第一等离子体12接触。DC接地处的面积越大,第一等离子体电位越低。例如,DC导电接地电极14与第一等离子体12接触的导电表面的表面积可以大于与第一等离子体12接触的任何其他表面积。此外,例如,DC导电接地电极14与第一等离子体12接触的导电表面的表面积可以大于与第一等离子体12接触的所有其他导电表面的总和。或者,例如,DC导电接地电极14与第一等离子体12接触的导电表面可以是与第一等离子体12接触的唯一导电表面。DC导电接地电极14可以提供最小阻抗的接地路径。
如上所述,来自第一等离子体12的(高能)电子通量(或电流jee)在第二等离子体室20中引发并维持第二等离子体22。为了控制电子通量并产生单能空间电荷中性化的中性束,在有波动的情况下,如上所述的第一等离子体电位(Vp,1)和第二等离子体电位(Vp,2)应当相对于大致减小的或最小的波动保持稳定。为了实现第二等离子体22的稳定性,第二等离子体室20包括DC导电偏压电极24,其具有与第二等离子体22接触的导电表面,其中DC导电偏压电极24耦合到DC电压源26。DC电压源26配置为在正DC电压(+VDC)下加偏压于DC导电偏压电极24。结果,第二等离子体电位(Vp,2)是由(+VDC)电压源驱动的边界驱动等离子体电位,因此使得Vp,2升高约+VDC,并保持基本稳定。尽管图1A中示出了一个DC导电偏压电极24,但是化学处理系统1可以包括一个或多个DC导电偏压电极。
此外,等离子体处理系统包括分割部件30,其设置在第一等离子体室10和第二等离子体室20之间。分割部件30可以用作电子扩散器。由电位差ΔV=Vp,2-Vp,1所产生的穿过电子加速层的电场驱动电子扩散。分割部件30可以包括绝缘体,例如石英或氧化铝,或者分割部件30可以包括电浮动的并具有高RF接地阻抗的电介质涂覆的导体材料。由于跨越电子加速层()的大电场,电子通量的能量足够维持第二等离子体22中的离子化。但是,化学处理系统1可以选择性的包括等离子体加热系统,其配置为进一步加热第二等离子体22。
分割部件30可以包括一个或多个开口,提供高能电子通量从第一等离子体室10到第二等离子体室20的通路。可以相对于DC导电接地电极14的表面积来调整一个或多个开口的总面积,以在尽量减小从第二等离子体22到第一等离子体12的反向离子电流的同时,确保相对大的电位差ΔV=Vp,2-Vp,1,从而确保足够的离子能量用于离子冲击衬底25。
如图1A所示,来自第一等离子体12中的第一离子布居的第一离子通量(例如,离子流,ji1)流向第一等离子体室10中的DC导电接地电极14,该离子通量与从第一等离子体12穿过分割部件30处的电子加速层进入第二等离子体22的高能电子通量(或电流jee)基本等量,即,|jj1|~|jee|。
如上所述,高能电子通量的能量足以形成第二等离子体22。其中,形成了热电子布居和第二离子布居。热电子很大程度上是根据引入的高能电子通量(或电流jee)所引起的第二等离子体22的离子化放出的电子而产生的结果。但是,一些来自高能电子通量的高能电子会损失足量的能量,因而成为热电子布居的一部分。
由于德拜屏蔽,只有第二等离子体22的热电子流向DC导电偏压电极24(例如,热电流,jte),其与高能电子通量基本等量,即,jte~jee。热电流jte被引导至DC导电偏压电极24,而来自第二离子布居的第二离子通量在Vp,2下被引导至衬底(作为离子流,ji2;其与流向衬底25的高能电流的总和jee基本相等,并且高能电子产生二次电流jese)。
如果引入的高能电子能量足够高,高能电子通量(jee)中的相当一部分将从穿过第二等离子体22的通路通过,并冲击晶片25。但是,不考虑其来源(即,来自高能电子通量jee的高能电子或来自热电子布居的高能电子),只有能够穿过衬底鞘层的高能电子(即跃过势垒,或Vfe-Vp,1,其中Vfe是高能电子的浮动电位)将到达衬底25。因为衬底25处于浮动DC接地,由第二等离子体22中的第二离子布居所提供的离子流ji2(具有由Vp,2-Vfe所表征的离子能量)将与电流je2相等(即,没有净电流,或|jj2|~|je2|,或ji2+je2~ji2+jee+jese~0)。或者,因为期望浮动接地表面电位略高于DC接地,所以衬底25将基本处于DC接地。
在用于化学处理系统1的上述结构中,提高第二等离子体电位高于第一等离子体电位将驱使高能电子束(具有电流jee)形成第二等离子体22,而化学处理系统1中各处的粒子平衡将迫使等量的电子(例如,电流je2)和离子(例如,离子流ji2)冲击衬底25(即,|jj2|~|je2|)。上述电荷平衡表明在衬底25处激活化学处理的空间电荷中性化的中性束被引导至衬底25。
现在参考图2,根据实施例提供了化学处理系统101。化学处理系统101包括等离子体产生室105,其配置为在第一等离子体电位下产生第一等离子体143;和处理室110,其配置成为衬底125的等离子体处理提供无污染真空环境。处理室110包括衬底支架120,其配置为支撑衬底125;和真空泵系统130,其耦合到处理室110,被配置为排空处理室110并且控制处理室110中的压力。
等离子体产生室105包括第一等离子体区142,其配置为在第一压力下接收第一处理气体,并形成第一等离子体143。此外,处理室110包括第二等离子体区152,其设置在第一等离子体区142的下游,并且配置为从第一等离子体区142接收电子通量150和第一处理气体,并在其中在第二等离子体电位和第二压力下形成第二等离子体153。
第一气体喷射系统144耦合到等离子体产生室105,并且配置为将第一处理气体引入第一等离子体区142。第一处理气体可以包括正电性气体或负电性气体或者两者的混合物。例如,第一处理气体,可以包括任何适合于处理衬底125的气体。此外,例如,第一处理气体可以包括任何具有适合于处理衬底125的化学组分、原子或分子的气体。所述化学组分可以包括蚀刻剂、膜形成气体、稀释剂、清洗气体等。第一气体喷射系统144可以包括一个或多个气体供应装置或气体源、一个或多个控制阀、一个或多个过滤器、一个或多个质量流控制器等。
可选的第二气体喷射系统154可以耦合到处理室110,并配置为将第二处理气体引入第二等离子体区152。第二处理气体可以包括任何适合处理衬底125的气体。此外,例如,第二处理气体可以包括任何具有适合于处理衬底125的化学组分、原子或分子的气体。所述化学组分可以包括蚀刻剂、膜形成气体、稀释剂、清洗气体等。第二气体喷射系统144可以包括一个或多个气体供应装置或气体源、一个或多个控制阀、一个或多个过滤器、一个或多个质量流控制器等。
仍然参考图2,化学处理系统1包括等离子体产生系统140,其耦合到等离子体产生室105,并配置为在第一等离子体区142中产生第一等离子体143。等离子体产生系统140可以包括配置为产生电容耦合等离子体(CCP)、电感耦合等离子体(ICP)、变换耦合等离子体(TCP)、表面波等离子、螺旋波等离子体、或电子回旋共振(ECR)加热的等离子体、或等离子体形成领域的技术人员众所周知的其他类型的等离子体的系统。尽管可以通过任何等离子体源加热第一等离子体,但是优选通过在其等离子体电位Vp,1中产生最小波动的方法来加热第一等离子体。例如,ICP源是产生减小的或最小的Vp,1波动的实用技术。
如图2所示,等离子体产生系统140可以包括感应线圈148,其耦合到功率源146。功率源146可以包括射频(RF)产生器,其使RF功率穿过可选的阻抗匹配网络耦合到感应线圈148。RF功率从感应线圈148穿过电介质窗口108电感耦合到第一等离子体区142中的第一等离子体143。通常的将RF功率施加到感应线圈的频率可以在从约10MHz到约100MHz的范围内。此外,可以采用开槽法拉第屏蔽(未示出)来减小感应线圈148和等离子体之间的电容耦合。
阻抗匹配网络可以用于通过减少所反射的功率来增强RF功率向等离子体的传输。匹配网络拓扑结构(例如,L型、π型、T型等)和自动控制方法对于本领域技术人员来说是公知的。
例如,在正电性放电中,电子密度可以在从约1010cm-3到1013cm-13的范围内,电子温度可以在从约1eV到约10eV的范围内(取决于所使用的等离子体源的类型)。
此外,如图2所示,等离子体产生室105包括直流(DC)导电电极106,其具有用作与第一等离子体143接触的边界的导电表面。DC导电接地电极106耦合到DC接地。例如,DC导电接地电极106可以包括掺杂硅电极。DC导电接地电极106用作由处于第一等离子体电位(Vp,1)的第一等离子体143驱动的离子槽。尽管图2中示出了一个DC导电接地电极106,但是化学处理系统101可以包括一个或多个导电接地电极。
尽管不是必需的,但是优选DC导电接地电极106包括相对大的面积与第一等离子体143接触。DC接地处的面积越大,第一等离子体电位越低。例如,DC导电接地电极106与第一等离子体143接触的导电表面的表面积可以大于与第一等离子体143接触的任何其他表面积。此外,例如,DC导电接地电极106与第一等离子体143接触的导电表面的表面积可以大于与第一等离子体143接触的所有其他导电表面的总和。或者,例如,DC导电接地电极106与第一等离子体143接触的导电表面可以是与第一等离子体143接触的唯一导电表面。DC导电接地电极106可以提供最小阻抗的接地路径。
仍然参考图2,化学处理系统101还包括偏压电极系统180,其被耦合以将第二等离子体电位提高到高于第一等离子体电位的值,以驱动电子通量。偏压电极系统180包括DC导电偏压电极182,其具有与第二等离子体153接触的导电表面。DC导电偏压电极182通过绝缘体184与处理室110电绝缘,DC导电偏压电极182耦合到DC电压源186。导电偏压电极182由导电材料组成,例如金属或掺杂硅。尽管图2中示出了一个DC导电偏压电极182,但是化学处理系统101可以包括一个或多个DC导电偏压电极。
尽管不是必需的,但是优选DC导电接地电极182包括相对大的面积与第二等离子体153接触。处于+VDC的面积越大,第二等离子体电位将越接近+VDC。例如,DC导电接地电极182总面积可以大于与第二等离子体153接触的所有其他导电表面的总和。或者,例如,DC导电接地电极182的总面积可以是与第二等离子体153接触的唯一导电表面。
电压源186可以包括可变DC电源。此外,DC电压源186可以包括双极性电源。DC电源源186还可以包括配置为执行监视、调整、或控制DC电压源186的极性、电流、电压、或开关状态中的至少一项的系统。可以使用滤波器来使RF功率与DC电压源186去耦。
例如,由DC电压源186供应至DC导电偏压电极182的DC电压可以在从约0伏(V)到约10000V的范围内。优选的,由DC电压源186供应至DC导电偏压电极182的DC电压可以在从约50伏(V)到约5000V的范围内。此外,优选DC电压具有正极性。此外,优选DC电压是具有大于约50V的绝对值的正电压。
如图2所示,处理室110包括室外壳构件111,其可以耦合接地。此外,衬垫构件188可以设置在室外壳构件111和第二等离子体153之间。衬垫构件188可以由电介质材料制成,例如石英或氧化铝。衬垫构件188可以向第二等离子体153提供高RF接地阻抗。此外,电馈通187配置为使得电连接到DC导电偏压电极182。
仍然参考图2,分割部件170设置在第一等离子体区142和第二等离子体区152之间,其中分割部件170包括一个或多个开口172,开口172配置为提供第一处理气体以及电子通量150从第一等离子体区142中的第一等离子体143到第二等离子体区152的通路,以在第二等离子体区152中形成第二等离子体。
分割部件170中的一个或多个开口172可以包括超级德拜长度孔径,即,横向尺寸或直径大于德拜长度。一个或多个开口172可以足够大,以允许适当的电子传送,并且一个或多个开口172可以足够小,以提供第一等离子体电位和第二等离子体电位之间的足够高的电位差并且减小第二等离子体153和第一等离子体143之间的反向离子流。此外,一个或多个开口172可以足够小以维持第一等离子体区142中的第一压力和第二等离子体区152中的第二压力之间的压力差。
尽管DC导电接地电极106耦合到DC接地,但是其也可以耦合到小于耦合到DC导电偏压电极182的偏压DC电压的DC电压。
如图2所示,电子通量150发生于第一等离子体区142和第二等离子体区152之间穿过分割部件170。由电场增强扩散驱动电子传送,其中电场是由第一等离子体电位和第二等离子体电位之间的电位差所建立的。电子通量150的能量足够维持第二等离子体153中的离子化。
例如,真空泵系统130可以包括能够具有高达5000升每秒(和更高的)抽速的涡轮分子真空泵(TMP)和诸如闸阀之类的真空阀(或第二真空阀),以用于控制第二等离子体区152中的压力。此外,用于监测室压力的装置(未示出)可以耦合到处理室110。例如,压力测量装置可以是可从MKS Instruments公司(Andover,马萨诸塞州)购得的628B Baratron型电容式绝对压力计。
仍然参考图2,衬底支架120可以耦合接地。如果衬底支架120耦合接地,则衬底125可以处于浮动接地,并且因此第二等离子体153接触的唯一接地端是由衬底125所提供的浮动接地。例如,当衬底125夹紧到衬底支架120时,陶瓷静电卡盘(ESC)层可以使衬底125与接地的衬底支架120绝缘。
或者,化学处理系统101可以包括衬底偏压系统,其耦合到衬底支架120并配置为加电偏压于衬底125。例如,衬底支架120可以包括穿过可选的阻抗匹配网络耦合到RF发生器的电极。通常的用于施加功率到衬底支架120的频率可以在从约0.1MHz到约100MHz的范围内。
仍然参考图2,化学处理系统101可以包括衬底温度控制系统,其耦合到衬底支架120并配置为调整和控制衬底125的温度。衬底温度控制系统包括温度控制元件,例如包括再循环冷却液流的冷却系统,该再循环冷却液流从衬底支架120接收热量并将热量传送到热交换器系统(未示出),或者在加热时从热交换器系统传送热量。此外,温度控制元件可以包括加热/冷却元件(例如可以包括在衬底支架120中的电阻加热元件或热电加热器/冷却器),以及处理室110的室壁和化学处理系统101内的任何其他组件。
为了增强衬底125和衬底支架120支架的热传输,衬底支架120可以包括机械夹紧系统或电夹紧系统(例如静电卡盘(ESC)系统),以将衬底125固定到衬底支架120的上表面。此外,衬底支架125还可以包括衬底背面气体输送系统,其配置为将气体引到衬底125的背面,以提高衬底125和衬底支架120之间的气体间隙热导率。当在提高的或降低的温度下需要衬底的温度控制时,可以使用上述系统。例如,衬底背面气体系统可以包括双区气体分配系统,其中在衬底125的中心和边缘之间氦气间隙压力可以单独改变。
如图2所示,衬底支架120可以由阻挡部件121所围绕,阻挡部件121延伸到衬底支架120的外边缘之外。阻挡部件121可以用于将由真空泵系统130所输送的抽速均匀分配到第二等离子体区152。阻挡部件121可以由电介质材料制成,例如石英或氧化铝。阻挡部件121可以向第二等离子体153提供高RF接地阻抗。
仍然参考图2,化学处理系统101还可以包括控制器190。控制器190包括能够产生控制信号的微处理器、存储器、数字输入/输出口,该控制信号足以通讯并激活化学处理系统101的输入以及检测化学处理系统101的输出的。此外,控制器190可以耦合到等离子体产生系统140、电极偏压系统180、衬底支架120和真空泵系统130,并可以与等离子体产生系统140、电极偏压系统180、衬底支架120和真空泵系统130交换信息,等离子体产生系统140包括第一气体喷射系统144和功率源146,电极偏压系统180包括可选的第二气体喷射系统154和DC电压源186。例如,可以根据处理方案使用存储在存储器中的程序来激活化学处理系统101的上述组件的输入,以执行处理衬底125的方法。
但是,可以通过通用计算机系统实现控制器190,该通用计算机系统响应于执行存储器中所包含的一个或多个指令的一个或多个序列的处理器,执行本发明的基于微处理器的处理步骤的一部分或全部。上述指令可以从另一计算机可读介质(例如硬盘或可移动介质驱动器)读入控制器存储器。也可以采用多处理设备中的一个或多个处理器作为控制器微处理器,以执行主存储器中所包含的指令的序列。在可选实施例中,可以使用硬连线电路代替软件指令,或与软件指令结合。因此,实施例并不限于硬件电路和软件的任何特定组合。
控制器190包括至少一个计算机可读介质或存储器(例如控制器存储器),用于保存根据本发明的教导所编写的指令,并用于容纳数据结构、表、记录、或执行本发明可能需要的其他数据。
在此使用的术语“计算机可读介质”指的是参与向用于执行的控制器190的处理器提供指令的任何介质。计算机可读介质可以采取的形式包括但不限于非易失性介质、易失性介质、和传输介质。非易失性介质包括例如光盘、磁盘、和磁光盘,例如硬盘或可移动介质驱动器。易失性介质包括动态存储器,例如主存储器。此外,各个形式的计算机可读介质可以参与将一个或多个指令的一个或多个序列输出到用于执行的控制器的存储器。例如,指令可以首先承载于远程计算机的磁盘上。远程计算机可以将用于执行本发明的全部或一部分的指令远程载入动态存储器,并通过网络将指令发送到控制器190。
存储在任何一个计算机可读介质或其组合上,本发明包括软件,其用于控制控制器190、用于驱动一个或多个用于执行本发明的设备、和/或用于使得控制器与人类用户交互。上述软件可以包括但不限于设备驱动、操作系统、开发工具、和应用软件。所述计算机可读介质还包括用于执行在实现本发明时所执行的处理的全部或一部分(如果分开处理)的本发明的计算机程序产品。
计算机编码装置可以是任何可解释的或可执行的编码机制,包括但不限于脚本、可解释的程序、动态链接库(DLL)、Java类、和完全可执行程序。此外,为了更好的执行、可靠性和/或成本,可以将部分处理分开。
控制器190可以相对于化学处理系统101就近放置,或者可以相对于化学处理系统101通过互联网或内联网远程放置。因此,控制器190可以使用直接连接、内联网或互联网中的至少一种来与化学处理系统010交换数据。控制器190可以耦合到用户站点(即,设备制造商等)处的内联网,或者耦合到供应商站点(即,装备制造商)处的内联网。此外,另一计算机(即,控制器、服务器等)可以通过直接连接、内联网或互联网中的至少一种访问控制器190,以交换数据。
现在参考图3,根据另一实施例提供了化学处理系统101’。化学处理系统101’包括与图2中所示的化学处理系统101中相同的组件。但是,化学处理系统101’包括具有位于等离子体产生室105上方的感应线圈148’的等离子体产生系统140’。感应线圈148’可以是平面线圈,例如“螺旋形”线圈或“扁平”线圈,如同在变换耦合等离子体(TCP)中一样,该平面线圈从上方与等离子体感应。RF功率从感应线圈148’穿过电介质窗口108’电感耦合到第一等离子体区142中的第一等离子体143。ICP源或TCP源的设计和实现对于本领域技术人员来说是公知的。
此外,如图3所示,等离子体产生室105包括直流(DC)导电接地电极106’,其具有用作与第一等离子体143接触的边界的导电表面。至少一个DC导电接地电极106’耦合到DC接地。
现在参考图4,根据另一实施例提供了化学处理系统10”。化学处理系统101”包括与图2中所示的化学处理系统101中相同的组件。胆识,化学处理系统101”包括具有位于等离子体产生室105的第一等离子体区142之中的感应线圈148”的等离子体产生系统140”,其中通过圆柱形电介质窗口插入件108”将感应线圈148”与第一等离子体143分开。感应线圈148”可以是耦合到功率源146的圆柱形线圈,例如螺旋线圈。RF功率可以从感应线圈148”穿过圆柱形电介质窗口插入件108”电感耦合到第一等离子体区142中的第一等离子体143。ICP源的设计和实现对于本领域技术人员来说是公知的。
此外,如图4所示,等离子体产生室105包括直流(DC)导电接地电极106”,其具有用作与第一等离子体143接触的边界的导电表面。DC导电接地电极106”耦合到DC接地。如图4所示,因为感应线圈148”陷入第一等离子体143中,DC导电接地电极106”包括占据等离子体产生室105的内表面的相当一部分的表面积。
现在参考图5,根据本发明的实施例提供了用于操作等离子体处理系统以处理衬底的方法的流程图400。流程图400开始于410,将衬底放置在等离子体处理系统中,该等离子体处理系统配置为使用等离子体促进衬底的处理。等离子体处理室可以包括图1A、1B、2、3和4中所描述的等离子体处理系统中的任意一种的组件。
在420中,在第一等离子体电位下在第一等离子体区中从第一处理气体形成第一等离子体。如图1A、1B、2、3和4所示,第一等离子体区可以位于等离子体产生室中,等离子体产生系统可以耦合到等离子体产生室以形成第一等离子体。
在430中,使用来自第一等离子体的电子通量在第二等离子体电位下在第二等离子体区中形成第二等离子体。来自第一等离子体区中的第一等离子体的电子通量从等离子体产生穿过分隔部件到达将处理衬底的处理室。如图1A、1B、2、3和4所示,第二等离子体区可以位于处理室中,其中设置在等离子体产生室和处理室之间的分隔部件中的一个或多个开口或通路促进从第一等离子体区向第二等离子体区传送货供应电子。
在440中,第二等离子体电位被提高到高于第一等离子体电位,以控制电子通量。第一等离子体区中的第一等离子体可以是边界驱动等离子体(即,等离子体边界对各自的等离子体电位具有实质性影响),其中与第一等离子体接触的部分或全部边界耦合到DC接地。此外,第二等离子体区中的第二等离子体可以是边界驱动等离子体,其中与第二等离子体接触的部分或全部边界耦合到处于+VDC的DC电压源。可以使用图1A、1B、2、3和4中所提供的实施例中的任意一种或任意组合来执行将第二等离子体电位升高到高于第一等离子体电位。
在450中,通过真空泵系统抽送进入处理室的气体,以控制处理室中的压力。在460中,将衬底暴露于第二等离子体区中的第二等离子体。将衬底暴露于第二等离子体包括将衬底暴露于单能空间电荷中性化的中性束激活的化学处理。
尽管上面只详细描述了本发明的一些实施例,但是本领域技术人员将很容易意识到,在不实质上脱离本发明的创新性教导和优点的情况下,实施例中可能有很多修改。因此,所有上述修改都意欲包含于本发明的范围内。

Claims (23)

1.一种化学处理系统,其配置为处理衬底,所述化学处理系统包括:
等离子体产生室,其包括第一等离子体区,所述第一等离子体区配置为在第一压力下接收第一处理气体;
处理室,其包括第二等离子体区,所述第二等离子体区设置在所述第一等离子体区的下游,并且被配置为在第二压力下从所述第一等离子体区接收所述第一处理气体;
第一气体喷射系统,其耦合到所述等离子体产生室,并配置为将所述第一处理气体引入所述第一等离子体区;
等离子体产生系统,其耦合到所述等离子体产生室,并配置为从所述第一处理气体在所述第一等离子体区中在第一等离子体电位下产生第一等离子体;
分隔部件,其设置在所述第一等离子体区和所述第二等离子体区之间,其中所述分隔部件包括一个或多个开口,所述开口配置为允许电子通量从所述第一等离子体区到所述第二等离子体区,以在第二等离子体电位下形成第二等离子体;
偏压电极系统,其耦合到所述处理室,并配置为将所述第二等离子体电位升高到高于所述第一等离子体电位,以控制所述电子通量;
衬底支架,其耦合到所述处理室,并配置为支撑邻近所述第二等离子体区的所述衬底;和
真空泵系统,其耦合到所述处理室,并配置为将抽吸所述处理室中的所述第二等离子体区。
2.根据权利要求1所述的化学处理系统,还包括:
第二气体喷射系统,其耦合到所述处理室,并配置为将所述第二处理气体引入所述第二等离子体区。
3.根据权利要求1所述的化学处理系统,其中,所述等离子体产生系统包括感应线圈,所述感应线圈配置为将来自功率源的功率电感耦合到所述第一等离子体区中的所述第一处理气体。
4.根据权利要求1所述的化学处理系统,其中,所述等离子体产生系统包括电容耦合等离子体(CCP)源、电感耦合等离子体(ICP)源、变换耦合等离子体(TCP)源、表面波等离子源、螺旋波等离子体源、或电子回旋共振(ECR)等离子体源、或者上述各项中的两项或多项的组合。
5.根据权利要求1所述的化学处理系统,其中,所述等离子体产生室包括至少一个直流(DC)导电接地电极,所述直流导电接地电极具有与所述第一等离子体接触的导电表面,并且其中,所述至少一个DC导电接地电极耦合到DC接地。
6.根据权利要求5所述的化学处理系统,其中,所述至少一个DC导电接地电极包括掺杂硅电极。
7.根据权利要求5所述的化学处理系统,其中,所述至少一个DC导电接地电极的所述导电表面包括与所述第一等离子体接触的表面积,所述表面积大于与所述第一等离子体接触的任何其他表面积。
8.根据权利要求1所述的化学处理系统,其中,所述分隔部件由电介质材料组成。
9.根据权利要求1所述的化学处理系统,其中所述分隔部件中的所述一个或多个开口中的一个或多个包括大于或等于德拜长度的直径。
10.根据权利要求1所述的化学处理系统,其中,所述偏压电极系统包括至少一个DC导电偏压电极,所述DC导电偏压电极具有与所述第二等离子体接触的导电表面,其中所述至少一个DC导电偏压电极耦合到DC电压源。
11.根据权利要求10所述的化学处理系统,其中所述至少一个DC导电偏压电极包括掺杂硅电极。
12.根据权利要求10所述的化学处理系统,其中,所述DC电压源配置为以从约50V到约5000V范围内的DC电压加偏压于所述至少一个DC导电偏压电极。
13.根据权利要求10所述的化学处理系统,其中所述处理系统包括:
室外壳构件,其由DC导电材料制成,并耦合到DC接地;
衬垫构件,其由电介质材料制成,并且耦合到所述室外壳构件,并且配置为使所述室外壳构件与所述第二等离子体电绝缘;
电馈通,其配置为使得电连接到所述至少一个DC导电偏压电极;和
电极绝缘体,其设置在所述至少一个DC导电偏压电极和所述室外壳构件之间,并配置为使所述至少一个DC导电偏压电极与所述室外壳构件电绝缘。
14.根据权利要求1所述的化学处理系统,其中所述衬底支架耦合到DC接地,并且其中所述衬底处于DC接地或浮动接地。
15.根据权利要求1所述的化学处理系统,还包括:
控制器,其耦合到所述等离子体产生系统、所述偏压电极系统、所述处理室、所述第一气体喷射系统、所述衬底支架、和所述真空泵系统,并且所述控制器配置为通过改变包括由所述等离子体产生系统耦合到所述第一等离子体区中的所述第一处理气体的功率、由所述偏压电极系统耦合到所述第二等离子体的DC电压、耦合到所述等离子体产生室的所述第一处理气体的成分、耦合到所述等离子体产生室的所述第一处理气体的流率、耦合到所述处理室的抽速、或所述衬底的温度、或者上述各项中一项或多项的组合在内的至少一种,来调整或控制所述第二等离子体。
16.一种化学处理系统,其配置为处理衬底,所述化学处理系统包括:
第一等离子体室,其用于在第一等离子体电位下形成第一等离子体;
第二等离子体室,其用于在高于所述第一等离子体电位的第二等离子体电位下形成第二等离子体,其中使用来自所述第一等离子体的电子通量来形成所述第二等离子体;和
衬底支架,其配置为将衬底定位在所述第二等离子体室中。
17.根据权利要求16所述的化学处理系统,其中由处于DC接地电压的第一边界来驱动所述第一等离子体,并且其中由处于DC偏压电压的第二边界来驱动所述第二等离子体。
18.根据权利要求16所述的化学处理系统,其中所述衬底支架耦合到DC接地,并且其中所述衬底处于DC接地或浮动接地。
19.根据权利要求16所述的化学处理系统,其中所述第一等离子体室包括至少一个DC导电接地电极,所述至少一个DC导电接地电极具有与所述第一等离子体接触的导电表面,并且其中所述至少一个DC导电偏压电极耦合到DC接地。
20.根据权利要求16所述的化学处理系统,还包括:
分隔部件,其设置在所述第一等离子体室和所述第二等离子体室之间,其中所述分隔部件包括一个或多个开口,所述开口配置为允许所述电子通量从所述第一等离子体到所述第二等离子体,并且其中所述分隔部件由电介质材料组成。
21.根据权利要求16所述的化学处理系统,其中所述第二等离子体室包括至少一个DC导电偏压电极,所述至少一个DC导电偏压电极具有与所述第二等离子体接触的导电表面,并且其中所述至少一个DC导电偏压电极耦合到DC电压源。
22.一种用于处理衬底的方法,其包括如下步骤:
将所述衬底放置在配置为用等离子体处理所述衬底的处理室中;
在第一等离子体电位下在第一等离子体区中形成第一等离子体;
使用来自所述第一等离子体区的电子通量,在第二等离子体电位下在第二等离子体区中形成第二等离子体;
将所述第二等离子体电位升高到高于所述第一等离子体电位,以控制所述电子通量;
控制所述处理室中的压力;和
将所述衬底暴露于素数第二等离子体。
23.根据权利要22所述的方法,其将所述衬底暴露于所述第二等离子体的步骤包括将所述衬底暴露于单能空间电荷中性化的中性束激活的化学处理。
CN200980110185.9A 2008-03-21 2009-03-20 单能中性束激活的化学处理系统及其使用方法 Expired - Fee Related CN101999155B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/053,008 US9520275B2 (en) 2008-03-21 2008-03-21 Mono-energetic neutral beam activated chemical processing system and method of using
US12/053,008 2008-03-21
PCT/US2009/037736 WO2009117624A2 (en) 2008-03-21 2009-03-20 Mono-energetic neutral beam activated chemical processing system and method of using

Publications (2)

Publication Number Publication Date
CN101999155A true CN101999155A (zh) 2011-03-30
CN101999155B CN101999155B (zh) 2014-12-03

Family

ID=41087845

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980110185.9A Expired - Fee Related CN101999155B (zh) 2008-03-21 2009-03-20 单能中性束激活的化学处理系统及其使用方法

Country Status (6)

Country Link
US (2) US9520275B2 (zh)
JP (1) JP5678351B2 (zh)
KR (1) KR101592613B1 (zh)
CN (1) CN101999155B (zh)
TW (1) TWI430716B (zh)
WO (1) WO2009117624A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187236A (zh) * 2011-12-27 2013-07-03 台湾积体电路制造股份有限公司 具有有效的无损害原位灰化的等离子体蚀刻器设计
CN105261546A (zh) * 2014-07-14 2016-01-20 朗姆研究公司 用于产生载能中性粒子的系统和方法
WO2018218797A1 (zh) * 2017-06-02 2018-12-06 北京北方华创微电子装备有限公司 用于处理工件的等离子体反应装置

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1630849B1 (en) * 2004-08-27 2011-11-02 Fei Company Localized plasma processing
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
DE102010039365B4 (de) * 2010-08-16 2016-03-24 Forschungsverbund Berlin E.V. Plasma-Prozesse bei Atmosphärendruck
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
SG193943A1 (en) * 2011-04-11 2013-11-29 Lam Res Corp E-beam enhanced decoupled source for semiconductor processing
RU2610462C2 (ru) * 2011-10-26 2017-02-13 Эксодженезис Корпорейшн Диагностический способ и устройство для определения характеристик нейтрального пучка и управления процессом с их помощью
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140273538A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Non-ambipolar electric pressure plasma uniformity control
US9978568B2 (en) * 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
JP2015050362A (ja) * 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9697993B2 (en) * 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP6247087B2 (ja) 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9288890B1 (en) * 2014-10-31 2016-03-15 Tokyo Electron Limited Method and apparatus for providing an anisotropic and mono-energetic neutral beam by non-ambipolar electron plasma
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10354841B2 (en) * 2015-04-07 2019-07-16 Tokyo Electron Limited Plasma generation and control using a DC ring
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6715129B2 (ja) * 2016-08-31 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6446418B2 (ja) * 2016-09-13 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) * 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018187494A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gas phase particle reduction in pecvd chamber
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN111527583B (zh) * 2017-12-27 2023-10-20 玛特森技术公司 等离子体处理设备和方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
WO2020059174A1 (ja) * 2018-09-20 2020-03-26 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11205562B2 (en) 2018-10-25 2021-12-21 Tokyo Electron Limited Hybrid electron beam and RF plasma system for controlled content of radicals and ions
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
GB201904587D0 (en) * 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus
US11152194B2 (en) 2019-05-14 2021-10-19 Tokyo Electron Limited Plasma processing apparatuses having a dielectric injector
US11393662B2 (en) 2019-05-14 2022-07-19 Tokyo Electron Limited Apparatuses and methods for plasma processing
US20220108874A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low current high ion energy plasma control system

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496448A (en) * 1983-10-13 1985-01-29 At&T Bell Laboratories Method for fabricating devices with DC bias-controlled reactive ion etching
US5780313A (en) * 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
JP3280406B2 (ja) * 1991-11-22 2002-05-13 アネルバ株式会社 プラズマ処理装置
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07221068A (ja) * 1994-01-31 1995-08-18 Sony Corp プラズマ装置およびこれを用いたドライエッチング方法
JP2942138B2 (ja) * 1994-03-22 1999-08-30 三菱電機株式会社 プラズマ処理装置及びプラズマ処理方法
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3561080B2 (ja) * 1996-04-23 2004-09-02 松下電器産業株式会社 プラズマ処理装置及びプラズマ処理方法
JPH10270430A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
KR100428813B1 (ko) * 2001-09-18 2004-04-29 주성엔지니어링(주) 플라즈마 발생장치 및 이를 이용한 SiO₂박막 식각방법
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US6896775B2 (en) * 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN1983518B (zh) * 2004-06-21 2011-06-08 东京毅力科创株式会社 等离子体处理装置和方法
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US7922880B1 (en) * 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187236A (zh) * 2011-12-27 2013-07-03 台湾积体电路制造股份有限公司 具有有效的无损害原位灰化的等离子体蚀刻器设计
CN103187236B (zh) * 2011-12-27 2016-04-06 台湾积体电路制造股份有限公司 具有有效的无损害原位灰化的等离子体蚀刻器设计
US9786471B2 (en) 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
CN105261546A (zh) * 2014-07-14 2016-01-20 朗姆研究公司 用于产生载能中性粒子的系统和方法
WO2018218797A1 (zh) * 2017-06-02 2018-12-06 北京北方华创微电子装备有限公司 用于处理工件的等离子体反应装置
CN108987228A (zh) * 2017-06-02 2018-12-11 北京北方华创微电子装备有限公司 用于处理工件的等离子体反应装置
CN108987228B (zh) * 2017-06-02 2024-05-17 北京北方华创微电子装备有限公司 用于处理工件的等离子体反应装置

Also Published As

Publication number Publication date
TW201004491A (en) 2010-01-16
KR101592613B1 (ko) 2016-02-05
US9520275B2 (en) 2016-12-13
KR20100126528A (ko) 2010-12-01
JP2011518408A (ja) 2011-06-23
JP5678351B2 (ja) 2015-03-04
WO2009117624A3 (en) 2010-01-07
TWI430716B (zh) 2014-03-11
US10734200B2 (en) 2020-08-04
CN101999155B (zh) 2014-12-03
US20090236314A1 (en) 2009-09-24
WO2009117624A2 (en) 2009-09-24
US20170062188A1 (en) 2017-03-02

Similar Documents

Publication Publication Date Title
CN101999155B (zh) 单能中性束激活的化学处理系统及其使用方法
JP5219479B2 (ja) 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
US7732759B2 (en) Multi-plasma neutral beam source and method of operating
US9966239B2 (en) Non-ambipolar plasma enhanced DC/VHF phasor
US8083961B2 (en) Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US7416677B2 (en) Exhaust assembly for plasma processing system and method
JP3987131B2 (ja) 誘導増強された反応性イオンエッチング
TWI541853B (zh) 具有電漿鞘電位之基板的非雙極電子電漿(nep)處理用處理系統
TW494710B (en) Plasma processing apparatus
JP5659425B2 (ja) 負イオンプラズマを生成する処理システムおよび中性ビーム源
US7358484B2 (en) Hyperthermal neutral beam source and method of operating
US20090095714A1 (en) Method and system for low pressure plasma processing
JPS63174321A (ja) イオン・エッチング及びケミカル・ベーパー・デポジション装置及び方法
JPS6348952B2 (zh)
JP2002289399A (ja) 中性粒子ビーム処理装置
JPH07320894A (ja) ヘリコン波プラズマ処理方法及び装置
JPS61177728A (ja) 低エネルギイオン化粒子照射装置
JP3037848B2 (ja) プラズマ発生装置およびプラズマ発生方法
JPH05129094A (ja) プラズマ処理方法および処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20141203

Termination date: 20210320

CF01 Termination of patent right due to non-payment of annual fee