TWI430716B - 單能中性射束致動之化學處理系統及其使用方法 - Google Patents

單能中性射束致動之化學處理系統及其使用方法 Download PDF

Info

Publication number
TWI430716B
TWI430716B TW098109152A TW98109152A TWI430716B TW I430716 B TWI430716 B TW I430716B TW 098109152 A TW098109152 A TW 098109152A TW 98109152 A TW98109152 A TW 98109152A TW I430716 B TWI430716 B TW I430716B
Authority
TW
Taiwan
Prior art keywords
plasma
processing
chamber
substrate
coupled
Prior art date
Application number
TW098109152A
Other languages
English (en)
Other versions
TW201004491A (en
Inventor
Lee Chen
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201004491A publication Critical patent/TW201004491A/zh
Application granted granted Critical
Publication of TWI430716B publication Critical patent/TWI430716B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Particle Accelerators (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

單能中性射束致動之化學處理系統及其使用方法
本發明係關於基板的處理方法及系統,尤有關於基板之中性射束致動之化學處理的施行方法及系統。
在半導體處理期間,通常藉由沿著半導體基板上所圖案化之細線或在通孔(或接觸孔)內促進材料的異向性(anisotropic)移除,而利用電漿以輔助蝕刻處理。此等電漿輔助之蝕刻的例子包含反應式離子蝕刻(RIE,reactive ion etching),其本質上為一種離子致動之化學蝕刻製程。
然而,雖然RIE已使用了數十年,其成熟性卻伴隨著幾個問題,包含:(a)寬的離子能量分佈(IED,ion energy distribution);(b)各種不同之感應電荷(charging-induced)副作用;及(c)特徵部形狀(feature-shape)負載效應(亦即微負載)。減輕此等問題的一個方法為使用中性射束處理。
正統的中性射束製程係在實質上沒有任何以化學反應物、添加物、及/或蝕刻劑參與之中性熱物種之情況下發生。在基板上例如蝕刻處理之化學製程係藉由入射(定向高能量)之中性物種之動能而致動,而入射(定向高能量且具反應性)之中性物種亦作為反應物或蝕刻劑。
因為中性射束處理未涉及與熱物種(其在RIE中作為蝕刻劑)相關聯之流動角(flux-angle)變化之效應,因此中性射束處理的一個自然結果為不具微負載(micro loading)。然而,缺乏微負載的一不利結果為蝕刻效率僅達1(即最大蝕刻率為1),或一入射中性物種名義上只促進一種蝕刻反應。相反地,RIE中被一高能入射離子所活化之大量熱中性物種(蝕刻劑),全部皆可參與膜的蝕刻。而當被迫使與微負載共同存在時,動能活化(熱中性物種)的化學蝕刻因此可以達到10、100及甚至1000的蝕刻效率。
儘管吾人已進行許多嘗試來補救這些缺點(亦即蝕刻效率、微負載、電荷損傷等等),這些缺點仍舊存在,而蝕刻研究者仍持續針對此問題去探索新穎、實用的解決方案。
本發明係關於用於處理基板的方法及系統,尤有關於施行基板之中性射束致動之化學處理的方法及系統。
再者,本發明關於以空間-電荷中和之中性射束致動化學處理來處理基板之化學處理系統及方法。此化學處理系統包含:第一電漿室,用以在第一電漿電位形成第一電漿;及第二電漿室,用以在大於第一電漿電位之第二電漿電位形成第二電漿,其中第二電漿係使用來自第一電漿之電子流而形成。此外,此化學處理系統包含基板支座,其用以放置基板在第二電漿室中。
根據一實施例,茲說明一種用以處理基板之化學處理系統,包含:電漿產生室,包含用以在第一壓力接收第一處理氣體的第一電漿區域;處理室,包含設置在第一電漿區域下游且用以在第二壓力接收來自第一電漿區域之第一處理氣體的第二電漿區域;第一氣體注入系統,耦合至電漿產生室且用以導引第一處理氣體至第一電漿區域;電漿產生系統,耦合至電漿產生室且用以於第一電漿區域中,在第一電漿電位,自第一處理氣體產生第一電漿;分隔構件,設置在第一電漿區域及第二電漿區域之間,其中分隔構件包含用以允許電子流自第一電漿區域流至第二電漿區域,以在第二電漿電位形成第二電漿之一個或更多開口;偏壓電極系統,耦合至處理室且用以提高第二電漿電位高於第一電漿電位,以控制電子流;基板支座,耦合至處理室且用以支撐基板接近第二電漿區域;及真空幫浦系統,耦合至處理室且用以在處理室中抽取第二電漿區域。
根據另一實施例,茲說明一種基板的處理方法,包含:放置基板在用以使用電漿處理基板的處理室中;在第一電漿電位於第一電漿區域中形成第一電漿;使用來自第一電漿區域之電子流,在第二電漿電位於第二電漿區域中形成第二電漿;提高第二電漿電位高於第一電漿電位以控制電子流;控制處理室中的壓力;及曝露基板至第二電漿。
在以下說明中,為了幫助本發明之全盤瞭解,及為了說明之目的而提出但不限定於例如電漿處理系統之特殊幾何及系統元件之各種說明之特定細節。然而,吾人應瞭解本發明在離開這些特定細節的其他實施例中仍可被實施。
然而,吾人應了解:儘管所解釋之一般概念具有發明本質,說明中所包含之內容亦具有發明本質之特徵。
根據一實施例,茲提供一種用於施行基板之中性射束致動之化學處理的方法及系統,尤其,用以減輕一些或全部上述認定的問題。中性射束致動之化學處理包含動能致動(即熱中性物種),因此,其達到高反應性及蝕刻效率。然而,如在此提供之中性射束致動之化學處理,亦可達到單能致動、空間-電荷中立、及硬體實用性。
現參照圖式,其中相同參考標號代表相同或相對應於所有數個圖式之元件,圖1A及1B描述根據一實施例之化學處理系統之簡化圖式。如圖1A所示,說明用以施行基板之空間-電荷中和之中性射束致動之化學處理之化學處理系統1。
如圖1A及1B所示,化學處理系統1包含:第一電漿室10,用以在第一電漿電位(Vp,1 )形成第一電漿12;及第二電漿室20,用以在大於第一電漿電位之第二電漿電位(Vp,2 )形成第二電漿22。第一電漿12係藉由耦合例如無線射頻(RF,radio frequency)功率之功率至第一電漿室中之可游離氣體而形成,而第二電漿22係使用來自第一電漿12之電子流(例如高能電子(ee,energetic electron)電流,jee )而形成。再者,化學處理系統1包含基板支座,其用以使基板25直流(DC)接地或浮動接地而將其放置在第二電漿室20中,以曝露於在第二電漿電位下之第二電漿22。
第一電漿室10包含用以點燃及加熱第一電漿12之電漿產生 系統16。第一電漿12可藉由任何習用之電漿產生系統來加熱,這些系統包含但不限制於:感應式耦合電漿(ICP,inductively coupled plasma)源、變壓式耦合電漿(TCP,transformer coupled plasma)源、電容式耦合電漿(CCP,capacitively coupled plasma)源、電子迴旋共振(ECR,electron cyclotron resonance)源、螺旋波(helicon wave)源、表面波電漿(surface wave plasma)源、具有開槽平板天線之表面波電漿源等等。雖然第一電漿12可藉由任何電漿源來加熱,但較佳者為第一電漿12是藉由可產生減低或最小化其電漿電位Vp,1 波動的方法加以加熱。例如,ICP源為可產生減低或最小化Vp,1 波動的實用技術。
此外,第一電漿室10包含直流(DC)導電電極14,其具有作用為與第一電漿12接觸之邊界的導電表面。DC導電接地電極14係耦合至DC接地。DC導電接地電極14作用為離子沉槽(ion sink),其由第一電漿12在第一電漿電位Vp,1 下驅動。雖然在圖1A中只顯示一個DC導電接地電極14,化學處理系統1可包含一個或更多DC導電接地電極。
雖然非必須,較佳者為DC導電接地電極14包含與第一電漿12接觸之相對大的區域。DC接地上的區域愈大,第一電漿電位愈小。例如,與第一電漿12接觸的DC導電接地電極14之導電表面的表面區域,可大於任何其他與第一電漿12接觸的表面區域。此外,例如,與第一電漿12接觸的DC導電接地電極14之導電表面的表面區域,可大於與第一電漿12接觸之所有其他導電表面之總和。或者,例如,與第一電漿12接觸的DC導電接地電極14之導電表面,可為與第一電漿12接觸的唯一導電表面。DC導電接地電極14可提供接地的最小阻抗路徑。
如上述,來自第一電漿12之(高能)電子流(或電子電流jee ),在第二電漿室20中引燃並維持第二電漿22。為了控制電子流並產生單能空間-電荷中和之中性射束,如上述之第一電漿電位(Vp,1 )及第二電漿電位(Vp,2 ),在有任何波動時必須為穩定且具有實質上減低或最小化的波動。為了在第二電漿22中達到此穩定性,第二電漿腔室20包含具有與第二電漿22接觸的導電表面的DC導電偏壓電極24,其中DC導電偏壓電極24係耦合至DC電壓源26。DC電壓源26係用以使DC導電偏壓電極24偏壓在一正DC電壓(+VDC )。因此,第二電漿電位(Vp,2 )係藉由(+VDC )電壓源驅動的一邊界驅動電漿電位,因此導致Vp,2 上升至約+VDC 並維持實質上穩定。僅管圖1A中僅顯示一個DC導電偏壓電極24,然而化學處理系統1可包含一個或更多DC導電偏壓電極。
再者,電漿處理系統包含設置在第一電漿室10及第二電漿室20之間的分隔構件30。分隔構件30可作用為電子擴散器。電子擴散係經由電位差ΔV=Vp,2 -Vp,1 所產生之電子加速層藉由電場所驅動。分隔構件30可包含絕緣體,例如石英或氧化鋁,或分隔構件30可包含介電塗佈的導電材料,其為電浮動(electrically floating)且對地面具有高RF阻抗。由於大的橫跨電子加速層之電場(▽z (Vp,2 -Vp,1 )),電子流係足夠高能以在第二電漿22中維持離子化。然而,化學處理系統1可選擇性地包含用以進一步加熱第二電漿22的電漿加熱系統。
分隔構件30可包含一個或更多開口,以允許高能電子流自第一電漿室10通過至第二電漿室20。一個或更多開口的總面積可相關於DC導電接地電極14而被調整,以確保相對大的電位差ΔV=Vp,2 -Vp,1 ,而使自第二電漿22至第一電漿12之逆離子流最小化,藉以確保有足夠的離子能量來離子轟擊(ion striking)基板25。
如圖1A所示,來自第一電漿12中之離子的第一族群之第一離子流(例如離子電流ji1 ),以約等同於自第一電漿12經由分隔構件30上之電子加速層而進入第二電漿22之高能電子流(或電子電流jee )之量,流向第一電漿室10中的DC導電接地電極14(即ji1 ~jee )。
如上述,高能電子流係足夠高能以形成第二電漿22。在其中,形成了熱電子族群及第二離子族群。熱電子主要為藉由進入(incoming)之高能電子流(或電子電流jee )而使第二電漿22游離產生之射出電子所造成。然而,有些來自高能電子流的高能電子可能耗損足夠量的能量,而因此變成熱電子族群的一部份。
由於德拜遮蔽(Debye shielding),僅有第二電漿22之熱電子,以約等於高能電子流之量,流向DC導電偏壓電極24(例如,熱電子電流(jte )(即jte ~jee )。當熱電子電流jte 被導引至DC導電偏壓電極24的同時,來自第二離子族群的第二離子流會在Vp,2 (以離子電流ji2 )被導引至基板。
如果進入之高能電子的能量足夠高,高能電子流(jee )的實質一部分將在第二電漿22的通過過程中倖存並轟擊基板25。然而,不論其來源(即來自高能電子流jee 或來自熱電子族群之高能電子),只有有能力穿過基板鞘層(sheath)(即攀越位能「峰」(hill))之高能電子,可以到達基板25。因為基板25係處於浮動DC接地,由第二電漿22中之第二離子族群供應之離子電流ji2 將會等於電子電流je2 (即無淨電流,或ji2 ~je2 )。或者,因為浮動-接地表面電位被預期稍高於DC接地,因此基板25可處於約DC接地。
在化學處理系統1的此等配置中,高於第一電漿電位之第二電漿電位的升高,驅動一高能電子射束(具有電子電流jee )以形成第二電漿22,而整個化學處理系統1的粒子平衡,使相同數量之電子(例如電子電流je2 )及離子(例如離子電流ji2 )對基板25施行轟擊(即je2 ~ji2 )。此電荷平衡表露如導向基板25之空間-電荷中和之中性射束,其可致動基板25上之化學處理。
現參照圖2,根據一實施例,提供化學處理系統101。化學處理系統101包含:電漿產生室105,用以在第一電漿電位產生第一電漿143;及處理室110,用以提供基板125之電漿處理用之無汙染、真空環境。處理室110包含:基板支座120,用以支撐基板125;真空幫浦系統130,其耦合至處理室110且用以排空處理室110及控制處理室110中的壓力。
電漿產生室105包含用以在第一壓力接收第一處理氣體並產生第一電漿143的第一電漿區域142。再者,處理室110包含設置在第一電漿區域142下游的第二電漿區域152,此第二電漿區域152用以接收電子流150及來自第一電漿區域142的第一處理氣體,並在第二電漿電位及第二壓力於其中形成第二電漿153。
第一氣體注入系統144係耦合至電漿產生室105,且用以導引第一處理氣體至第一電漿區域142。第一處理氣體可包含正電性氣體或負電性氣體,或其等之混合氣體。例如,第一處理氣體可包含例如氬氣(Ar)的惰性氣體。此外,例如,第一處理氣體可包含任何適於處理基板125的氣體。再者,例如,第一處理氣體可包含任何具有適於處理基板125之化學組成、原子或分子的氣體。此等化學組成可包含蝕刻劑、膜形成氣體、稀釋劑(dilutants)、清理氣體等等。第一氣體注入系統144可包含:一個或更多氣體供應或氣體源;一個或更多控制閥;一個或更多過濾器;一個或更多質流控制器等等。
可將選擇性之第二氣體注入系統154耦合至處理室110,且用以導引第二處理氣體至第二電漿區域152。第二處理氣體可包含任何適於處理基板125的氣體。再者,例如,第二處理氣體可包含任何具有適於處理基板125之化學組成、原子或分子的氣體。此等化學組成可包含蝕刻劑、膜形成氣體、稀釋劑、清理氣體等等。第二氣體注入系統可包含:一個或更多氣體供應或氣體源;一個或更多控制閥;一個或更多過濾器;一個或更多質流控制器等等。
繼續參照圖2,化學處理系統101包含耦合至電漿產生室105並用以在第二電漿區域142中產生第一電漿143的電漿產生系統140。電漿產生系統140可包含用以產生以下種類電漿的系統:電容式耦合電漿(CCP);感應式耦合電漿(ICP);變壓式耦合電漿(TCP);表面波電漿;螺旋波電漿;或電子迴旋共振(ECR)加熱電漿;或其他任何被熟習電漿形成技藝者所瞭解之形式的電漿。僅管第一電漿可被任何電漿源所加熱,較佳者為第一電漿係由在其電漿電位Vp,1 下可產生最小波動之方法來加熱。例如,ICP源為可產生減低或最小Vp,1 波動之習用技術。
如圖2所示,電漿產生系統140可包含耦合至功率源146的感應線圈148。功率源146可包含經由選擇性之阻抗匹配網路而耦合RF功率至感應線圈148的RF產生器。RF功率係感應地由感應線圈148,經由介電窗108而耦合至在第一電漿區域142中的第一電漿143。關於感應線圈RF功率之應用的典型頻率可自約10MHz分佈至約100MHz。此外,開槽法拉第護罩(slotted Faraday shiled)(未顯示),可被用於減低感應線圈148及電漿之間的電容耦合。
阻抗匹配網路可藉由減低反射功率而用來改進RF功率至電漿的傳輸。匹配網路位相(topologies)(例如,L-型、π-型、T-型等等)及自動控制方法為熟習本技藝者所熟知。
例如,在正電性放電(discharge)中,電子密度可自約1010 cm-3 分佈至約1013 cm-3 ,而電子溫度可自約1eV分佈至約10eV(取決於所使用的電漿源種類)。
此外,如圖2所示,電漿產生室105包含直流(DC)導電電極106,其具有作用為與第一電漿143接觸之邊界的導電表面。DC導電接地電極106係耦合至DC接地。例如,DC導電接地電極106可包含摻雜之矽電極。DC導電接地電極106作用為離子沉槽(ion sink),其藉由第一電漿143在第一電漿電位Vp,1 驅動。雖然在圖2中只顯示一個DC導電接地電極106,化學處理系統101可包含一個或更多DC導電接地電極。
雖然非必須,較佳者為DC導電接地電極106包含與第一電漿143接觸之相對大的區域。DC接地上的區域愈大,第一電漿電位愈小。例如,與第一電漿143接觸的DC導電接地電極106之導電表面的表面區域,可大於任何其他與第一電漿143接觸的表面區域。此外,例如,與第一電漿143接觸的DC導電接地電極106之導電表面的表面區域,可大於與第一電漿143接觸之所有其他導電表面之總和。或者,例如,與第一電漿143接觸的DC導電接地電極106之導電表面,可為與第一電漿143接觸的唯一導電表面。DC導電接地電極106可提供接地的最小阻抗路徑。
繼續參照圖2,化學處理系統101更包含耦合至處理室110的偏壓電極系統180。偏壓電極系統180係用以升高第二電漿電位至一高於第一電漿電位的值以驅動電子流。偏壓電極系統180包含具有與第二電漿153接觸之導電表面之DC導電偏壓電極182。DC導電偏壓電極182係經由絕緣器184而與處理室110電性絕緣,且DC導電偏壓電極182係耦合至DC電壓源186。DC導電偏壓電極182係由例如金屬或摻雜之矽之導電材料所組成。僅管圖2中僅顯示一個DC導電偏壓電極182,然而化學處理系統101可包含一個或更多DC導電偏壓電極。
雖然非必須,較佳者為DC導電偏壓電極182包含與第二電漿153接觸之相對大的區域。+VDC 上的區域愈大,第二電漿電位將愈接近+VDC 。例如,DC導電偏壓電極182之總區域,可大於與第二電漿153接觸之所有其他導電表面之總和。或者,例如,DC導電偏壓電極182之總區域,可為與第二電漿153接觸的唯一導電表面。
電壓源186可包含可變DC電力供應。此外,DC電壓源186可包含雙極DC電力供應。DC電壓源186可更包含用以施行DC電壓源186之至少一監控調整;或極性、電流、電壓或開/關狀態控制之系統。電過濾器可被用來自DC電壓源186將RF功率去耦合(de-couple)。
例如,經DC電壓源186施行至DC導電偏壓電極182的DC電壓可自約0伏特分佈至約10000伏特。期望地,經DC電壓源186施行至DC導電偏壓電極182的DC電壓可自約50伏特分佈至約5000伏特。此外,較佳者為DC電壓具有正極性。再者,較佳者為DC電壓為具有絕對值大於約50伏特之正電壓。
如圖2所示,處理室110包含可耦合至接地的室殼構件111。此外,襯墊構件188可設置在室殼構件111與第二電漿153之間。襯墊構件188可由例如石英或氧化鋁之介電材料所製造。再者,電饋通187係用以允許電連接至DC導電偏壓電極182。
繼續參照圖2,分隔構件170係設置在第一電漿區域142及第二電漿區域152之間,其中分隔構件170包含一個或更多用以 允許第一處理氣體及電子流150,自第一電漿區域142中之第一電漿143通過至第二電漿區域152,以在第二電漿區域152中形成第二電漿153。
一個或更多在分隔構件170中的開口172可包含超德拜(super-Debye)長度之隙孔(apertures),亦即橫斷尺寸或直徑大於德拜長度。一個或更多開口172可足夠大至允許足夠之電子傳輸,而一個或更多開口172可足夠小以允許第一電漿電位與第二電漿電位之間的足夠高之電位差,並減少第二電漿153與第一電漿143之間的任何逆離子電流。再者,一個或更多開口172可足夠小以維持第一電漿區域142中的第一壓力與第二電漿區域152中之第二壓力之間的壓力差。
儘管DC導電接地電極106係耦合至DC接地,其可耦合至小於耦合至DC導電偏壓電極182之偏壓DC電壓之DC電壓。
如圖2所示,電子流150經由分隔構件170,發生在第一電漿區域142及第二電漿區域152之間。電子傳輸係藉由電場-增強擴散來驅動,其中電場係藉由第一電漿電位與第二電漿電位之間的電位差來建立。電子流150係足夠高能以維持在第二電漿153中的游離。
真空幫浦系統130可例如包含:渦輪分子真空幫浦(TMP,turbo-molecular vacuum pump),其抽取速度能夠上至每秒5000升(以上);及例如閘閥(gate valve)之真空閥(或第二真空閥),用以控制在第二電漿區域152中的壓力。再者,可將用於監控室壓的裝置(未顯示)耦合至處理室110。壓力量測裝置可例如可由MKS Instruments,Inc.(Andover,MA)所購得之Type 628B Baratron絕對電容壓力計。
繼續參照圖2,基板支座120可被耦合至接地。如果基板支座120被耦合至接地,基板125可處於浮動接地,因此,第二電漿153接觸的接地只有基板125所提供的浮動接地。例如,當基板125被夾持至基板支座120時,一陶瓷靜電夾持(ESC,electrostatic clamp)層可使基板125與接地之基板支座120絕緣。
或者,化學處理系統101可包含耦合至基板支座120並用以使基板125電力偏壓的基板偏壓系統。例如,基板支座120可包含經由選擇性之阻抗匹配網路而耦合至RF產生器的電極。關於基板支座120功率之應用的典型頻率可自約0.1MHz分佈至約100MHz。
繼續參照圖2,化學處理系統101可包含耦合至基板支座120,且用以調整及控制基板125之溫度的基板溫度控制系統。基板溫度控制系統包含溫度控制元件,例如包含自基板支座120接收熱及傳輸熱至熱交換器系統(未顯示),或當加熱時,自熱交換器系統傳輸熱之再循環(re-circulating)冷卻劑流的冷卻系統。此外,溫度控制元件可包含例如電阻加熱元件或熱電加熱器/冷卻器之加熱/冷卻元件,這些元件不但可包含在基板支座120中,也可包含在處理室110之室壁或任何其他化學處理系統101內的元件中。
為了改進基板125及基板支座120之間的熱傳輸,基板支座120可包含機械夾持系統,或例如靜電夾持(ESC)系統的電力夾持系統,以固定基板125至基板支座120之上表面。此外,基板支座120可更包含用以導引氣體至基板125之背側,以增進基板125與基板支座120之間的氣隙(gas-gap)熱傳導的基板背側氣體輸送系統。此等系統可被使用在要求將基板之溫度控制在降低或升高的溫度時。例如,基板背側氣體系統可包含雙區域氣體分散系統,其中氦氣間隙壓力可在基板125之中央及邊緣之間獨立變化。
如圖2所示,基板120可被延伸在基板支座120之周圍邊緣外的擋板(baffle)構件121所圍繞。擋板構件121可用來使藉由真空幫浦系統130傳送至第二電漿區域152的幫浦抽取速度均勻分布。擋板構件121可由例如石英、或氧化鋁之介電材料所製造。擋板構件121可針對第二電漿153而提供對地面之高RF阻抗。
繼續參照圖2,化學處理系統101可更包含控制器190。控制器190包含:微處理器;記憶體;及數位I/O埠,其能夠產生不但足以連接及致動輸入至化學處理系統101,而且可監控來自化學處理系統101之輸出之控制信號。此外,控制器190可耦合至下列元件並與其交換資訊:電漿產生系統140,包含第一氣體注入系統144及功率源146;電極偏壓系統180,包含選擇性之第二氣體注入系統154及DC電壓源186;基板支座120;及真空幫浦系統130。例如,儲存在記憶體中的程式可依據處理處方而用於致動輸入至化學處理系統101之前述元件,以施行處理基板125的方法。
然而,可將控制器190作為一般用途之電腦系統,其回應執行包含在記憶體中之一或更多序列之一或更多指令的處理器,而施行一部分或全部本發明之微處理器處理步驟。此等指令可自例如硬碟或可移動式媒體機(media drive)之另一電腦可讀取媒體讀進控制器記憶體中。多處理裝置中之一個或更多處理器亦可作為執行包含在主記憶體中之指令序列的控制器微處理器。在替代實施例中,硬接線之電路可用以代替軟體指令或與軟體指令結合。因此,實施例並不限制於任何特定之硬體電路及軟體的結合。
控制器190包含例如控制器記憶體之至少一個電腦可讀取媒體或記憶體,其用於容納根據本發明之教示所安排設計之指令,及用於容納實施本發明所需之資料結構、表格、記錄、或其他資料。
使用於此之術語「電腦可讀取媒體」,係指參與提供執行用指令至控制器190之處理器之任何媒體。電腦可讀取媒體可為許多形式,包含但不限至於:非揮發性媒體、揮發性媒體、及傳輸媒體。非揮發性媒體包含例如:光學、磁性碟;及例如硬碟或可移動式媒體驅動之磁光(magneto-optical)碟。揮發性媒體包含例如主記憶體之動態記憶體。再者,許多電腦可讀取媒體之型式可包含實行對控制器之處理器的一或更多序列之一或更多指令。例如,起初可將指令攜帶於遠端電腦之磁碟上。遠端電腦可將本發明之全部或一部分之執行指令遠端載入至動態記憶體中,並透過網路發送指令至控制器190。
本發明包含儲存在電腦可讀取媒體之任一者或其組合上之軟體,其用以:控制控制器190;驅動一個或多個裝置以實行本發明;及/或使控制器能夠與人類使用者互動。此等軟體可包含但不限制於:裝置驅動程式、操作系統、開發工具、及應用軟體。此等電腦可讀取媒體更包含本發明之電腦程式產品,其用以施行實施本發明之處理之全部或一部分(如果處理為分散式)。
電腦碼裝置可為任何可編譯的或可執行的密碼機構,包含但不限制於:指令稿(scripts)、可編譯程式、動態連結程式庫(DLLs,dynamic link libraries)、爪哇類別(Java classes)、及完整的可執行程式。此外,為了更好的性能、可靠性、及/或成本,可分散部分處理。
控制器190可設置在關於化學處理系統101之局部,或其可經由網際網路或內部網路關於化學處理系統101遠端地設置。因此,控制器190可使用直接連接、內部網路、或網際網路至少一者與化學處理系統101交換資料。控制器190可耦合至客戶端的內部網路(即裝置製造商等等),或耦合至供應商端的內部網路(即設備製造商)。此外,另一電腦(即控制器、伺服器等等)可存取控制器190以經由直接連接、內部網路、或網際網路至少一者來交換資料。
現參照圖3,提供了根據另一實施例之化學處理系統101’。化學處理系統101’包含如圖2中所描述之化學處理系統101中的相似元件。然而,化學處理系統101’包含具有設置在電漿產生室105上方之感應線圈148’的電漿產生系統140’。感應線圈148’可為例如「螺旋(spiral)」線圈或「薄餅狀(pancake)」線圈的平面線圈,其由上方與電漿聯繫,如同在變壓式耦合電漿(TCP)中一般。RF功率經由介電窗108’自感應線圈148’感應耦合至第一電漿區域142中之第一電漿143。ICP源或TCP源之設計與實施為熟習此技藝者所熟知。
此外,如圖3所示,電漿產生室105包含直流(DC)導電接地電極106’,其具有作用為與第一電漿143接觸之邊界的導電表面。DC導電接地電極106’之至少一者係耦合至DC接地。
現參照圖4,提供了根據另一實施例之化學處理系統101”。化學處理系統101”包含如圖2中所描述之化學處理系統101中的相似元件。然而,化學處理系統101”包含具有設置在電漿產生室105之第一電漿區域142內之感應線圈148”的電漿產生系統140”,其中感應線圈148”藉由筒狀介電窗嵌入件108”與第一電漿143分隔。感應線圈148”可為例如螺旋線圈之耦合至功率源146之筒狀線圈。RF功率經由筒狀介電窗嵌入件108”自感應線圈148”感應耦合至第一電漿區域142中之第一電漿143。ICP源之設計與實施為熟習此技藝者所熟知。
此外,如圖4所示,電漿產生室105包含直流(DC)導電接地電極106”,其具有作用為與第一電漿143接觸之邊界的導電表面。DC導電接地電極106”係耦合至DC接地。如圖4所示,由於感應線圈148”浸置在第一電漿143內,DC導電接地電極106”包含佔據電漿產生室105之內部表面之大部分的表面區域。
現參照圖5,提供了根據本發明之實施例之用以操作處理基板之電漿處理系統之方法的流程圖400。流程圖400開始於步驟410,此步驟放置基板在用以幫助使用電漿處理基板之電漿處理系統中。電漿處理室可包含在圖1A、1B、2、3及4中所說明之電漿處理系統之任一者之元件。
在步驟420中,第一電漿於第一電漿區域中,在第一電漿電位自第一處理氣體形成。如圖1A、1B、2、3及4所例示,第一電漿區域可被設置在電漿產生室中,且電漿產生系統可被耦合至電漿產生室,以形成第一電漿。
在步驟430中,使用來自第一電漿之電子流,於第二電漿區域中,在第二電漿電位形成第二電漿。來自第一電漿區域中之第一電漿的電子流,自電漿產生室經分隔構件傳遞至基板將在當中被處理的處理室。如圖1A、1B、2、3及4所例示,第二電漿區域可被設置在處理室中,其中設置在電漿產生室及處理室之間之分隔構件中之一個或更多開口或通路可幫助由第一電漿區域至第二電漿區域之電子傳輸或供應。
在步驟440中,第二電漿電位被升高至高於第一電漿電位,以控制電子流。第一電漿區域中之第一電漿可為邊界驅動電漿(即電漿邊界對於分別之電漿電位有實質的影響),其中與第一電漿接觸之邊界的部分或全部係耦合至DC接地。此外,第二電漿區域中之第二電漿可為邊界驅動電漿,其中與第二電漿接觸之邊界的部分或全部係以+VDC 耦合至DC電壓源。高於第一電漿電位之第二電漿電位的提升,可使用在圖1A、1B、2、3及4中所提供之實施例之任一者或結合加以實施。
在步驟450中,進入處理室的氣體以真空幫浦系統抽取,以控制處理室中的壓力。在步驟460中,將基板曝露至第二電漿區域中之第二電漿。曝露基板至第二電漿可包含曝露基板至單能空間-電荷中和之中性射束致動化學處理。
雖然以上已詳述本發明之特定實施例,但熟習本技藝者可輕易明白在實質不離開本發明之新穎教示與優點的情況下,於這些實施例中許多修改係可行的。因此,所有此種修改應被包含在本發明的範疇內。
1...化學處理系統
10...第一電漿室
12...第一電漿
14...DC導電接地電極
16...電漿產生系統
20...第二電漿室
22...第二電漿
24...DC導電偏壓電極
25...基板
26...DC電壓源
30...分隔構件
101...化學處理系統
101’...化學處理系統
101”...化學處理系統
105...電漿產生室
106...DC導電接地電極
106’...DC導電接地電極
106”...DC導電接地電極
108...介電窗
108’...介電窗
108”...筒狀介電窗嵌入
110...處理室
111...室殼構件
120...基板支座
121...擋板構件
125...基板
130...真空幫浦系統
140...電漿產生系統
140’...電漿產生系統
140”...電漿產生系統
142...第一電漿區域
143...第一電漿
144...第一氣體注入系統
146...功率源
148...感應線圈
148’...感應線圈
148”...感應線圈
150...電子流
152...第二電漿區域
153...第二電漿
154...第二氣體注入系統
170...分隔構件
172...開口
180...電極偏壓系統
182...DC導電偏壓電極
184...絕緣器
186...DC電壓源
187...電饋通
188...襯墊構件
190...控制器
400...流程圖
410...放置基板至處理室中
420...在第一電漿電位於第一電漿區域中形成第一電漿
430...使用來自第一電漿區域之電子流,在第二電漿電位於第二電漿區域中形成第二電漿
440...提高第二電漿電位高於第一電漿電位
450...控制處理室中的壓力
460...曝露基板至第二電漿
在隨附圖示中:
圖1A顯示根據一實施例之化學處理系統;
圖1B例示將施行於圖1A所描述之化學處理系統中之化學處理的條件;
圖2顯示根據一實施例之化學處理系統;
圖3顯示根據另一實施例之化學處理系統;
圖4顯示根據另一實施例之化學處理系統;及
圖5例示根據另一實施例之用以處理基板之電漿處理系統之操作方法。
400...流程圖
410...放置基板至處理室中
420...在第一電漿電位下,於第一電漿區域中形成第一電漿
430...使用來自第一電漿區域之電子流,在第二電漿電位下,於第二電漿區域中形成第二電漿
440...提高第二電漿電位至高於第一電漿電位
450...控制處理室中的壓力
460...曝露基板至第二電漿

Claims (23)

  1. 一種用以處理基板的化學處理系統,包含:一電漿產生室,包含用以在一第一壓力下接收一第一處理氣體的一第一電漿區域;一處理室,包含設置在該第一電漿區域下游且用以在一第二壓力下接收來自該第一電漿區域之該第一處理氣體的一第二電漿區域;一第一氣體注入系統,耦合至該電漿產生室且用以通入該第一處理氣體至該第一電漿區域;一電漿產生系統,耦合至該電漿產生室且用以於該第一電漿區域中,在一第一電漿電位下,自該第一處理氣體產生一第一電漿;一分隔構件,設置在該第一電漿區域及該第二電漿區域之間,其中該分隔構件包含一或更多開口,該一或更多開口用以允許一電子流自該第一電漿區域流至該第二電漿區域,以在一第二電漿電位形成一第二電漿;一偏壓電極系統,耦合至該處理室且用以提高該第二電漿電位至該第一電漿電位以上,以控制該電子流;一基板支座,耦合至該處理室且用以支撐該基板接近該第二電漿區域;及一真空幫浦系統,耦合至該處理室且用以對該處理室中之該第二電漿區域進行抽氣。
  2. 如申請專利範圍第1項之用以處理基板的化學處理系統,更包含:一第二氣體注入系統,耦合至該處理室且用以通入一第二處理氣體至該第二電漿區域。
  3. 如申請專利範圍第1項之用以處理基板的化學處理系統,其中該電漿產生系統包含一感應線圈,該感應線圈用以將來自一功率源之功率感應耦合至該第一電漿區域中之該第一處理氣體。
  4. 如申請專利範圍第1項之用以處理基板的化學處理系統,其中該電漿產生系統包含:一電容式耦合電漿(CCP,capacitively coupled plasma)源、一感應式耦合電漿(ICP,inductively coupled plasma)源、一變壓式耦合電漿(TCP,transformer coupled plasma)源、一表面波電漿(surface wave plasma)源、一螺旋波(helicon wave)電漿源、或一電子迴旋共振(ECR,electron cyclotron resonance)電漿源、或其二者或更多之組合。
  5. 如申請專利範圍第1項之用以處理基板的化學處理系統,其中該電漿產生室包含具有與該第一電漿接觸之一導電表面之至少一直流(DC,direct current)導電接地電極,且其中該至少一DC導電接地電極係耦合至DC接地。
  6. 如申請專利範圍第5項之用以處理基板的化學處理系統,其中該至少一DC導電接地電極包含一摻雜之矽電極。
  7. 如申請專利範圍第5項之用以處理基板的化學處理系統,其中該至少一DC導電接地電極之該導電表面包含與該第一電漿接觸之一表面區域,其大於任何與該第一電漿接觸之其他表面區域。
  8. 如申請專利範圍第1項之用以處理基板的化學處理系統,其中該分隔構件係由一介電材料所組成。
  9. 如申請專利範圍第1項之用以處理基板的化學處理系統,其中,一或更多位於該分隔構件中之該一或更多開口包含大於或等於一德拜(Debye)長度之一直徑。
  10. 如申請專利範圍第1項之用以處理基板的化學處理系統,其中該偏壓電極系統包含具有與該第二電漿接觸之一導電表面之至少一DC導電偏壓電極,且其中該至少一DC導電偏壓電極係耦合至一DC電壓源。
  11. 如申請專利範圍第10項之用以處理基板的化學處理系統,其中該至少一DC導電偏壓電極包含一摻雜之矽電極。
  12. 如申請專利範圍第10項之用以處理基板的化學處理系統,其中該DC電壓源係用以將該至少一DC導電偏壓電極以自約50伏特分佈至約5000伏特之DC電壓加以偏壓。
  13. 如申請專利範圍第10項之用以處理基板的化學處理系統,其中該處理室,包含:一室殼構件,其由一DC導電材料所製成且耦合至DC接地;一襯墊構件,耦合至該室殼構件,且該襯墊構件係由一介電材料所製成並且用以使該室殼構件與該第二電漿電絕緣;一電饋通,其用以允許電力連接至該至少一DC導電偏壓電極;及一電極絕緣器,設置在該至少一DC導電偏壓電極與該室殼構件之間,並用以使該至少一DC導電偏壓電極與該室殼構件電力絕緣。
  14. 如申請專利範圍第1項之用以處理基板的化學處理系統,其中該基板支座係耦合至DC接地,且其中該基板係處於DC接地或浮動接地。
  15. 如申請專利範圍第1項之用以處理基板的化學處理系統,更包含:一控制器,耦合至該電漿產生系統、該偏壓電極系統、該處理室、該第一氣體注入系統、該基板支座、及該真空幫浦系統,並用以藉由改變下列至少其中一者來調整或控制該第二電漿:由該電漿產生系統耦合至該第一電漿區域中之該第一處理氣體之功率;由該偏壓電極系統耦合至該第二電漿之DC電壓;耦合至該電漿產生室之該第一處理氣體的組成;耦合至該電漿產生室之該第一處理氣體的流速;耦合至該處理室之抽氣速度;或該基板的溫度;或其一或更多之組合。
  16. 一種用以處理基板的化學處理系統,包含:一第一電漿室,用以在一第一電漿電位下形成一第一電漿;一第二電漿室,用以在一大於該第一電漿電位之第二電漿電位形成一第二電漿,其中該第二電漿係使用來自該第一電漿之電子流而形成;及一基板支座,用以放置一基板在該第二電漿室中。
  17. 如申請專利範圍第16項之用以處理基板的化學處理系統,其中該第一電漿係由在DC接地電壓下之一第一邊界所驅動,且其中該第二電漿係藉由在一DC偏壓電壓下之一第二邊界所驅動。
  18. 如申請專利範圍第16項之用以處理基板的化學處理系統,其中該基板支座係耦合至DC接地,且其中該基板係處於DC接地或浮動接地。
  19. 如申請專利範圍第16項之用以處理基板的化學處理系統,其中該第一電漿室包含具有與該第一電漿接觸之一導電表面之至少一DC導電接地電極,且其中該至少一DC導電接地電極係耦合至DC接地。
  20. 如申請專利範圍第16項之用以處理基板的化學處理系統,更包含:一分隔構件,設置在該第一電漿室及該第二電漿室之間,其中該分隔構件包含用以允許該電子流自該第一電漿流至該第二電漿之一或更多開口,且其中該分隔構件係由一介電材料所組成。
  21. 如申請專利範圍第16項之用以處理基板的化學處理系統,其中該第二電漿室包含具有與該第二電漿接觸之一導電表面之至少一DC導電偏壓電極,且其中該至少一DC導電偏壓電極係耦合至一DC電壓源。
  22. 一種基板的處理方法,包含:放置該基板在一用以使用電漿處理該基板的處理室中;在一第一電漿電位下,於一第一電漿區域中形成一第一電漿;使用來自該第一電漿區域之電子流,在一第二電漿電位下,於一第二電漿區域中形成一第二電漿;提高該第二電漿電位至該第一電漿電位以上,以控制該電子流;控制該處理室中的一壓力;及曝露該基板至該第二電漿。
  23. 如申請專利範圍第22項之基板的處理方法,其中該曝露該基板至該第二電漿包含曝露該基板至一單能空間電荷中和之中性射束致動化學處理。
TW098109152A 2008-03-21 2009-03-20 單能中性射束致動之化學處理系統及其使用方法 TWI430716B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/053,008 US9520275B2 (en) 2008-03-21 2008-03-21 Mono-energetic neutral beam activated chemical processing system and method of using

Publications (2)

Publication Number Publication Date
TW201004491A TW201004491A (en) 2010-01-16
TWI430716B true TWI430716B (zh) 2014-03-11

Family

ID=41087845

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098109152A TWI430716B (zh) 2008-03-21 2009-03-20 單能中性射束致動之化學處理系統及其使用方法

Country Status (6)

Country Link
US (2) US9520275B2 (zh)
JP (1) JP5678351B2 (zh)
KR (1) KR101592613B1 (zh)
CN (1) CN101999155B (zh)
TW (1) TWI430716B (zh)
WO (1) WO2009117624A2 (zh)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE532203T1 (de) * 2004-08-27 2011-11-15 Fei Co Lokalisierte plasmabehandlung
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
DE102010039365B4 (de) * 2010-08-16 2016-03-24 Forschungsverbund Berlin E.V. Plasma-Prozesse bei Atmosphärendruck
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
SG10201602780VA (en) * 2011-04-11 2016-05-30 Lam Res Corp E-beam enhanced decoupled source for semiconductor processing
WO2013063234A1 (en) * 2011-10-26 2013-05-02 Exogenesis Corporation Diagnostic method and apparatus for characterization of a neutral beam and for process control therewith
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140273538A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Non-ambipolar electric pressure plasma uniformity control
US9978568B2 (en) 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
JP2015050362A (ja) * 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9697993B2 (en) * 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP6247087B2 (ja) 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20160013020A1 (en) * 2014-07-14 2016-01-14 Lam Research Corporation Systems and methods for producing energetic neutrals
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9288890B1 (en) * 2014-10-31 2016-03-15 Tokyo Electron Limited Method and apparatus for providing an anisotropic and mono-energetic neutral beam by non-ambipolar electron plasma
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10354841B2 (en) * 2015-04-07 2019-07-16 Tokyo Electron Limited Plasma generation and control using a DC ring
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6715129B2 (ja) * 2016-08-31 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6446418B2 (ja) * 2016-09-13 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10431429B2 (en) * 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018187494A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gas phase particle reduction in pecvd chamber
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
TWI658750B (zh) * 2017-06-02 2019-05-01 北京北方華創微電子裝備有限公司 用於處理工件的電漿反應裝置
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN111527583B (zh) * 2017-12-27 2023-10-20 玛特森技术公司 等离子体处理设备和方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
JP7030204B2 (ja) * 2018-09-20 2022-03-04 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法およびプログラム
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11205562B2 (en) 2018-10-25 2021-12-21 Tokyo Electron Limited Hybrid electron beam and RF plasma system for controlled content of radicals and ions
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
GB201904587D0 (en) * 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus
US11393662B2 (en) 2019-05-14 2022-07-19 Tokyo Electron Limited Apparatuses and methods for plasma processing
US11152194B2 (en) 2019-05-14 2021-10-19 Tokyo Electron Limited Plasma processing apparatuses having a dielectric injector
US20220108874A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low current high ion energy plasma control system

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496448A (en) * 1983-10-13 1985-01-29 At&T Bell Laboratories Method for fabricating devices with DC bias-controlled reactive ion etching
US5780313A (en) * 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
JP3280406B2 (ja) * 1991-11-22 2002-05-13 アネルバ株式会社 プラズマ処理装置
US5350480A (en) 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07221068A (ja) * 1994-01-31 1995-08-18 Sony Corp プラズマ装置およびこれを用いたドライエッチング方法
JP2942138B2 (ja) * 1994-03-22 1999-08-30 三菱電機株式会社 プラズマ処理装置及びプラズマ処理方法
US5468955A (en) 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3561080B2 (ja) * 1996-04-23 2004-09-02 松下電器産業株式会社 プラズマ処理装置及びプラズマ処理方法
JPH10270430A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
KR100428813B1 (ko) * 2001-09-18 2004-04-29 주성엔지니어링(주) 플라즈마 발생장치 및 이를 이용한 SiO₂박막 식각방법
AU2002366943A1 (en) 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US6896775B2 (en) * 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN1973363B (zh) * 2004-06-21 2011-09-14 东京毅力科创株式会社 等离子体处理装置和方法
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US7922880B1 (en) * 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing

Also Published As

Publication number Publication date
CN101999155B (zh) 2014-12-03
US20170062188A1 (en) 2017-03-02
TW201004491A (en) 2010-01-16
CN101999155A (zh) 2011-03-30
KR101592613B1 (ko) 2016-02-05
WO2009117624A3 (en) 2010-01-07
US9520275B2 (en) 2016-12-13
US10734200B2 (en) 2020-08-04
US20090236314A1 (en) 2009-09-24
JP5678351B2 (ja) 2015-03-04
JP2011518408A (ja) 2011-06-23
KR20100126528A (ko) 2010-12-01
WO2009117624A2 (en) 2009-09-24

Similar Documents

Publication Publication Date Title
TWI430716B (zh) 單能中性射束致動之化學處理系統及其使用方法
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
US7732759B2 (en) Multi-plasma neutral beam source and method of operating
US7358484B2 (en) Hyperthermal neutral beam source and method of operating
US20090095714A1 (en) Method and system for low pressure plasma processing
TWI541853B (zh) 具有電漿鞘電位之基板的非雙極電子電漿(nep)處理用處理系統
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US8083961B2 (en) Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US7772544B2 (en) Neutral beam source and method for plasma heating
US6083363A (en) Apparatus and method for uniform, low-damage anisotropic plasma processing
US7875555B2 (en) Method for plasma processing over wide pressure range
JPH06507675A (ja) 基板をプラズマ支援で加工する装置
JP7101096B2 (ja) プラズマ処理方法及びプラズマ処理装置
JPH0729888A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees