JP2011518408A - 単色中性ビームで活性化される化学プロセスシステム及び当該システムの使用方法 - Google Patents

単色中性ビームで活性化される化学プロセスシステム及び当該システムの使用方法 Download PDF

Info

Publication number
JP2011518408A
JP2011518408A JP2011500969A JP2011500969A JP2011518408A JP 2011518408 A JP2011518408 A JP 2011518408A JP 2011500969 A JP2011500969 A JP 2011500969A JP 2011500969 A JP2011500969 A JP 2011500969A JP 2011518408 A JP2011518408 A JP 2011518408A
Authority
JP
Japan
Prior art keywords
plasma
chamber
coupled
chemical process
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011500969A
Other languages
English (en)
Other versions
JP5678351B2 (ja
Inventor
チェン,リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2011518408A publication Critical patent/JP2011518408A/ja
Application granted granted Critical
Publication of JP5678351B2 publication Critical patent/JP5678351B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Particle Accelerators (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

単色空間電荷で中性化された中性ビームで活性化される化学プロセスによって基板を処理する化学プロセスシステム及び当該化学プロセスシステムの使用方法が記載されている。当該化学プロセスシステムは、第1プラズマポテンシャルで第1プラズマを生成する第1プラズマチャンバ、及び、前記第1プラズマポテンシャルよりも大きい第2プラズマポテンシャルで第2プラズマを生成する第2プラズマチャンバを有する。前記第2プラズマは前記第1プラズマからの電子束を用いて生成される。さらに当該化学プロセスシステムは、前記第2プラズマチャンバ内に基板を設置するように備えられた基板ホルダを有する。

Description

本発明は、基板の処理方法及びシステムに関し、より詳細には中性ビームで活性化される基板の化学プロセス方法及びシステムに関する。
半導体プロセス中、プラズマは、半導体基板上にパターニングされた微細線に沿った材料の異方性除去、又はビア(又はコンタクト)内部の材料の異方性除去を促進することによって、エッチングプロセスを支援するのによく利用される。そのようなプラズマ支援エッチングの例には、イオンによって活性化される化学エッチングプロセスである反応性イオンエッチング(RIE)が含まれる。
しかし、RIEが数十年にわたって用いられてきたとはいえ、RIEが成熟するには複数の課題が存在する。その課題には、(a)広いイオンエネルギー分布(IED)、(b)様々な帯電が誘起する副作用、及び(c)部位の形状によるローディング効果(つまりマイクロローディング)が含まれる。これらの問題を緩和する一の方法は中性ビームプロセスの利用である。
真の中性ビームプロセスは、基本的には、化学反応剤、添加剤、及び/又はエッチャントとして関与する中性熱化学種が存在しない状態で行われる。基板での化学プロセス−たとえばエッチングプロセス−は、入射する(有向性かつ高エネルギーの)中性化学種の運動エネルギーによって活性化される。入射する(有向性かつ高エネルギーの)中性化学種はまた反応剤又はエッチャントとしての役割も果たす。
中性ビームプロセスを実行することで当然生じる一の帰結はマイクロローディングが生じないことである。なぜならそのプロセスは、熱化学種に関連するフラックス角がばらつく効果を有していないからである。しかしマイクロローディングが生じないことによる望ましくない帰結はエッチング効率が一種類になってしまうことである。これはつまり、最大のエッチング歩留まりが一種類となる、すなわち、一の入射中性化学種は名目上一種類のエッチング反応しか起こさないということである。逆に、RIEにおける豊富な熱中性化学種(エッチャント)はすべて、一の高エネルギー入射イオンによって活性化された状態で、膜のエッチングに関与することができる。従って運動エネルギーによって活性化される(熱中性化学種の)化学エッチングは、マイクロローディングを生じさせることなく、エッチング効率を10倍、100倍、又は1000倍にすることができる。
これらの短所−つまりエッチング効率、マイクロローディング、帯電損傷等−を解決するための試みが数多くなされてきたものの、これらは依然として存在し、かつエッチングの当業者は、この問題を解決するための新規で実用的な解決法を模索し続けている。
本発明は、基板の処理方法及びシステムに関し、より詳細には中性ビームで活性化される基板の化学プロセス方法及びシステムに関する。
さらに本発明は、空間電荷が中性化された中性ビームによって活性化される化学プロセスによる基板処理システム及び方法に関する。当該処理システムは、第1プラズマポテンシャルで第1プラズマを生成する第1プラズマチャンバ、及び、前記第1プラズマポテンシャルよりも大きい第2プラズマポテンシャルで第2プラズマを生成する第2プラズマチャンバを有する。前記第2プラズマは前記第1プラズマからの電子束を用いて生成される。さらに当該化学プロセスシステムは、前記第2プラズマチャンバ内に基板を設置するように備えられた基板ホルダを有する。
本発明の一の実施例によると、基板を処理するように備えられた化学プロセスシステムが記載されている。当該化学プロセスシステムは:第1圧力で第1プロセスガスを受け入れるように備えられた第1プラズマ領域を有するプラズマ生成チャンバ;前記第1プラズマ領域からガスが流れる先に設けられ、かつ第2圧力で前記第1プラズマ領域から前記第1プロセスガスを受け入れるように備えられた第2プラズマ領域を有するプロセスチャンバ;前記プラズマ生成チャンバと結合し、かつ前記第1プロセスガスを前記第1プラズマ領域に導入するように備えられた第1ガス注入システム;前記プラズマ生成チャンバと結合し、かつ前記第1プロセスガスから前記第1プラズマ領域内で第1プラズマポテンシャルの第1プラズマを生成するように備えられたプラズマ生成システム;前記第1プラズマ領域と前記第2プラズマ領域との間に設けられた分離部材であって、前記第1プラズマ領域から前記第2プラズマ領域への電子束が、第2プラズマポテンシャルの第2プラズマを生成することを可能にするように備えられた1つ以上の開口部を有する分離部材;前記プロセスチャンバと結合し、かつ、前記電子束を制御するため、前記第2プラズマポテンシャルを前記第1プラズマポテンシャルよりも高くするように備えられたバイアス電極システム;前記プロセスチャンバと結合し、かつ、前記第2プラズマ領域付近で前記基板を支持するように備えられた基板ホルダ;並びに、前記プロセスチャンバと結合し、かつ、前記のプロセスチャンバ内の第2プラズマ領域を排気するように備えられた真空排気システム;を有する。
本発明の他の実施例によると、基板の処理方法が記載されている。当該方法は:前記基板を処理するように備えられたプロセスチャンバ内に基板を設ける工程;第1プラズマ領域内で第1プラズマポテンシャルの第1プラズマを生成する工程;前記第1プラズマ領域からの電子束を用いることによって、第2プラズマ領域内で第2プラズマポテンシャルの第2プラズマを生成する工程;前記電子束を制御するため、前記第2プラズマポテンシャルを前記第1プラズマポテンシャルよりも高くする工程、前記プロセスチャンバ内の圧力を制御する工程;並びに、前記基板を前記第2プラズマに暴露する工程;を有する。
本発明の一の実施例による化学プロセスシステムを図示している。 図1Aに図示された化学プロセスシステム内で実行される化学プロセスの条件を表している。 本発明の一の実施例による化学処理システムを図示している。 本発明の他の実施例による化学処理システムを図示している。 本発明の他の実施例による化学処理システムを図示している。 本発明の他の実施例による、基板を処理するように備えられたプラズマプロセスシステムの動作方法を表している。
以降の記載では、限定ではない説明目的で、たとえば処理システムの具体的な幾何学的形状、並びに様々な部品及び処理の記載といった具体的詳細について説明される。しかし本発明は、これらの具体的詳細から逸脱する他の実施例でも実施可能であることに留意して欲しい。
本発明の一の実施例によると、中性ビームで活性化される基板の化学プロセスを実行することで、とりわけ上述の問題の一部又は全部を緩和する方法及びシステムが供される。中性ビームで活性化される化学プロセスは運動エネルギーによる活性化(つまり熱中性化学種)を含むので、高い反応性又はエッチング効率を実現する。しかし中性ビームによって活性化される化学プロセスはまた、単色の活性化、空間電荷の中性化、及びハードウエアの実用化を実現する。
ここで図(なお全図中、同一の参照番号は同一又は対応する素子を表す)を参照すると、図1A及び図1Bは本発明の実施例による化学プロセスシステムの概略図を示している。図1Aに図示されているように、空間電荷が中性化された中性ビームによって活性化される基板の化学プロセスを実行するように備えられた化学プロセスシステム1が記載されている。
図1A及び図1Bに図示されているように、化学プロセスシステム1は、第1プラズマポテンシャル(Vp,1)で第1プラズマ12を生成する第1プラズマチャンバ10、及び、前記第1プラズマポテンシャル12よりも大きい第2プラズマポテンシャル(Vp,2)で第2プラズマ22を生成する第2プラズマチャンバ20を有する。前記第1プラズマ12は、前記第1プラズマチャンバ10内の電離可能ガスに出力−たとえば高周波(RF)出力−を結合させることによって生成される一方で、前記第2プラズマ22は、前記第1プラズマ12からの電子束(たとえば高エネルギー電子(ee)の高電流、jee)を用いて生成される。さらに化学プロセスシステム1は、前記第2プラズマチャンバ20内において直流(DC)状態又は浮遊接地電位となるように基板25を設けて、前記基板25を前記の第2プラズマポテンシャルの第2プラズマ22に暴露させる。
前記第1プラズマチャンバ10は、前記第1プラズマ12の点火及び加熱を行うように備えられたプラズマ生成システム16を有する。前記第1プラズマは任意の従来のプラズマ生成システムによって加熱されても良い。従来のプラズマ生成システムには、誘導結合プラズマ(ICP)源、変成器結合プラズマ(TCP)源、容量結合プラズマ(CCP)源、電子サイクロトロン共鳴(ECR)源、ヘリコン波源、表面波プラズマ源、スロット面アンテナを有する表面波プラズマ源等が含まれるが、これらに限定されるわけではない。前記第1プラズマ12が任意のプラズマ源によって加熱されて良いとしても、前記第1プラズマ12は、そのプラズマポテンシャルVp,1の揺らぎを小さくする、すなわち抑制する方法で加熱されることが望ましい。たとえばICP源は、プラズマポテンシャルVp,1の揺らぎを小さくする、すなわち抑制する実用的な方法である。
それに加えて前記第1プラズマチャンバ10は、前記第1プラズマ12と接する境界として機能する電導性表面を有する直流(DC)伝導性電極14を有する。DC伝導性接地電極14はDC接地と結合する。前記DC伝導性接地電極14は、前記の第1プラズマポテンシャル(Vp,1)の第1プラズマ12によって駆動するイオンシンクとして機能する。図1Aには一のDC伝導性接地電極14が図示されているが、当該化学プロセスシステム1は1つ以上のDC伝導性接地電極を有して良い。
必要ないとはいえ、前記DC伝導性接地電極14は、前記第1プラズマ12と接する比較的大きな領域を有することが望ましい。DC状態での接地面積が大きくなればなるほど、前記第1プラズマポテンシャルは小さくなる。たとえば前記の第1プラズマ12と接するDC伝導性接地電極14の伝導性表面の面積は、前記第1プラズマ12と接する他の表面積よりも大きくて良い。それに加えてたとえば、前記の第1プラズマ12と接するDC伝導性接地電極14の伝導性表面の面積は、前記第1プラズマ12と接する他の表面積の総和よりも大きくて良い。あるいはその代わりに、例として、前記の第1プラズマ12と接するDC伝導性接地電極14の伝導性表面の面積は、前記第1プラズマ12に接する唯一の伝導性表面であっても良い。前記DC伝導性接地電極12は接地電位への最低インピーダンス経路を供して良い。
上述したように、前記第1プラズマ12からの(高エネルギー)電子束(すなわち電流jee)が、前記第2プラズマチャンバ20内において前記第2プラズマ22を発生させ、かつ維持する。前記電子束を制御し、かつ単色の空間電荷が中性化した中性ビームを生成するため、上述の第1プラズマポテンシャル(Vp,1)及び第2プラズマポテンシャル(Vp,2)は、たとえ揺らぎがあるとしても、その揺らぎが最小限の状態で安定していなければならない。前記第2プラズマ22においてこの安定性を実現するためには、前記第2プラズマチャンバ20は、前記第2プラズマ22と接する伝導性表面を有するDC伝導性バイアス電極24を有する。前記DC伝導性バイアス電極24はDC電源26と結合する。前記DC電源26は、前記DC伝導性バイアス電極24に正のDC電圧(+VDC)をバイアス印加するように備えられている。その結果、前記第2プラズマポテンシャル(Vp,2)は電源(+VDC)によって駆動される境界で駆動するプラズマポテンシャルであるので、Vp,2は約+VDCにまで上昇し、かつ実質的に安定状態のままとなる。図1Aには1つの伝導性バイアス電極24しか図示されていないが、当該化学プロセスシステム1は1つ以上のDC伝導性バイアス電極を有しても良い。
さらに前記プラズマプロセスシステムは、前記第1プラズマチャンバ10と前記第2プラズマチャンバ20との間に設けられた分離部材30を有する。前記分離部材30は電子ディフューザとして機能して良い。電子拡散は、ポテンシャル差ΔV=Vp,2-Vp,1によって生成される電子加速層を介した電場によって駆動される。前記分離部材30は絶縁体−たとえば石英又はアルミナ−を有して良い。あるいは前記分離部材30は、電気的に浮遊接地電位で、かつ接地電位に対する高いRFインピーダンスを有する誘電体によってコーティングされた伝導性材料を有しても良い。前記電子加速層全体にわたって大きな電場(∇z(Vp,2-Vp,1))が生じるため、前記電子束は、前記第2プラズマ22における電離を維持するのに十分なエネルギーを有する。しかし当該化学プロセスシステム1は任意で、前記第2プラズマ22をさらに加熱するように備えられたプラズマ加熱システムを有して良い。
前記分離部材30は、前記の第1プラズマチャンバ10から第2プラズマチャンバ20への高エネルギー電子束の通過を可能にする1つ以上の開口部を有して良い。前記1つ以上の開口部の総面積は前記DC伝導性接地電極14の表面積に対して調節されて良い。それにより前記第2プラズマ22からの逆イオン電流を最小限に抑制しながら相対的に大きなポテンシャル差ΔV=Vp,2-Vp,1が保証されることで、前記基板25に衝突するイオンのエネルギーを十分な大きさにすることが保証される。
図1Aに図示されているように、前記第1プラズマ12内の第1数のイオンが、前記第1プラズマ12から前記の分離部材30での電子加速層を介して前記第2プラズマ22へ流れる高エネルギー電子束(すなわち電流jee)とほぼ等しい量−つまり|jj1|〜|jee|−だけ、前記の第1プラズマチャンバ10内のDC伝導性接地電極14へ流れる。
上述したように、前記高エネルギー電子束は、前記第2プラズマ22を生成するのに十分な高エネルギーを有する。そこで第1数の熱電子と第2数のイオンが生成される。前記熱電子のほとんどは、入り込む高エネルギー電子束(すなわち電流jee)によって前記第2プラズマ22が電離する結果飛び出す電子である。しかし前記高エネルギー電子束からの高エネルギー電子の一部は相当量のエネルギーを失うため、熱電子数の一部となると考えられる。
デバイ遮蔽のため、前記第2プラズマ22のうちの、前記高エネルギー電子束にほぼ等しい量の熱電子だけが、前記DC伝導性バイアス電極24へ流れる(たとえば熱電子による電流jte)。熱電子による電流jteが前記DC伝導性バイアス電極24へ流れる一方で、前記第2数のイオンからの第2イオン束はVp,2で前記基板へ向かって流れる(イオン電流jj2、基板25への高エネルギー電流の総和にほぼ等しいjee、及び前記高エネルギー電子が生成する第2電流jese)。
入り込む高エネルギー電子のエネルギーが十分高い場合、相当量の高エネルギー電子束(jee)が、前記第2プラズマ22を通り抜けることができて、ウエハ25に衝突する。しかし電子の起源(つまり高エネルギー電子束jeeからの高エネルギー電子、又は熱電子群からの高エネルギー電子)に関係なく、前記基板シースを通過(つまりポテンシャルの「丘」すなわちVfe-Vp,1を昇る。ここでVfeは高エネルギー電子の浮遊接地電位のポテンシャルである)できる高エネルギー電子だけが基板25に到達する。基板25は浮遊DC接地電位であるので、(Vp,2-Vfeであることを特徴とするイオンエネルギーを有する)前記の第2プラズマ22内の第2イオン群によって供されるイオン電流ji2は電流je2に等しくなる(つまり、正味の電流が生じない。すなわち|ji2|〜|je2|、あるいはji2+je2〜ji2+jee+jese〜0である)。あるいはその代わりに、基板25はほぼDC接地電位であっても良い。なぜなら浮遊接地電位での接地面のポテンシャルはDC状態での接地ポテンシャルよりもわずかに大きいことが予想されるからである。
当該化学プロセスシステム1のそのような構成では、前記第2プラズマポテンシャルが前記第1プラズマポテンシャルよりも大きな値への上昇は、高エネルギー電子ビームが前記第2プラズマ22を生成する促進力となる。その一方で、当該化学プロセスシステム1全体での粒子のバランスは、基板25に衝突する電子数(たとえば電流je2)とイオン数(たとえばイオン電流ji2)とを等しくさせる(つまり|je2|〜|ji2|)。この電荷バランスは、基板25へ向かって基板25での化学プロセスを活性化させる空間電荷が中性化したビームとして現れる。
ここで図2を参照すると、本発明の実施例による化学プロセスシステム101が供されている。当該化学プロセスシステム101は、第1プラズマポテンシャルの第1プラズマ143を生成するように備えられたプラズマ生成チャンバ105、及び基板125をプラズマ処理するための汚染物の存在しない真空環境を供するように備えられたプロセスチャンバ110を有する。前記プロセスチャンバ110は、基板125を支持するように備えられた基板ホルダ125、及び、前記プロセスチャンバ110と結合し、かつ該プロセスチャンバ110を排気して該プロセスチャンバ110の圧力を制御するように備えられた真空排気システム130を有する。
前記プラズマ生成チャンバ105は、前記第1プラズマ143から第1圧力の第1プロセスガスを受け取るように備えられた第1プラズマ領域142を有する。さらに前記プロセスチャンバ110は、前記第1プラズマ領域142からガスが流れる先に設けられた第2プラズマ領域152を有する。前記第2プラズマ領域152は、前記第1プラズマ領域142からの電子束150及び第1プロセスガスを受け取り、かつ第2プラズマポテンシャルで第2圧力の第2プラズマを内部に生成するように備えられている。
第1ガス注入システム144は、前記プラズマ生成チャンバ105と結合し、かつ前記第1プロセスガスを前記第1プラズマ領域142へ導入するように備えられている。前記第1プロセスガスは正の電荷を有するガス若しくは負の電荷を有するガス又はこれらの混合ガスを有して良い。たとえば前記第1プロセスガスはたとえばアルゴン(Ar)のような希ガスを有して良い。それに加えてたとえば、前記第1プロセスガスは基板125を処理するのに適した任意のガスを有して良い。さらにたとえば前記第1プロセスガスは、基板125を処理するのに適した化学成分、原子、又は分子を有する任意のガスを有して良い。これらの化学成分は、エッチャント、膜を生成するガス、希釈剤、洗浄ガス等を有して良い。第1ガス注入システム144は、1つ以上のガス供給体すなわちガス源、1つ以上の制御バルブ、1つ以上のフィルタ、1つ以上のマスフローコントローラ等を有して良い。
任意の第2ガス注入システム154は、前記プロセスチャンバ110と結合し、かつ前記第2プラズマ領域152へ第2プロセスガスを導入するように備えられて良い。前記第2プロセスガスは基板125を処理するのに適した任意のガスを有して良い。それに加えてたとえば、前記第1プロセスガスは基板125を処理するのに適した任意のガスを有して良い。さらにたとえば前記第1プロセスガスは、基板125を処理するのに適した化学成分、原子、又は分子を有する任意のガスを有して良い。これらの化学成分は、エッチャント、膜を生成するガス、希釈剤、洗浄ガス等を有して良い。第1ガス注入システム144は、1つ以上のガス供給体すなわちガス源、1つ以上の制御バルブ、1つ以上のフィルタ、1つ以上のマスフローコントローラ等を有して良い。
さらに図2を参照すると、当該化学プロセスシステム101は、前記プラズマ生成チャンバ105と結合し、かつ前記第1プラズマ領域142内に前記第1プラズマ143を生成するように備えられたプラズマ生成システム140を有する。前記プラズマ生成システム140は、容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、変成器結合プラズマ(TCP)、表面波プラズマ、ヘリコン波プラズマ、電子サイクロトロン共鳴(ECR)加熱プラズマ、又は当業者によって知られている他の種類のプラズマを生成するように備えられたシステムを有して良い。前記第1プラズマが任意のプラズマ源によって加熱されて良いが、前記第1プラズマは、プラズマポテンシャルVp,1における揺らぎが最小となるような方法によって加熱される。たとえばICP源はVp,1の揺らぎを抑制すなわち最小にする実際的な手法である。
図2に図示されているように、前記プラズマ生成システム140は、電源146と結合した誘導コイル148を有して良い。前記電源146は、任意のインピーダンス整合ネットワークを介して誘導コイル148にRF出力を結合させる高周波(RF)発生装置を有して良い。RF出力は、誘導コイル148から誘電体窓108を介して、前記の第1プラズマ領域142内の第1プラズマ143と誘導結合する。前記誘導コイルへRF出力を印加する際の典型的な周波数は約10MHz〜約100MHzの範囲であって良い。それに加えて、スロット型ファラデーシールド(図示されていない)は、前記誘導コイル148とプラズマとの間での容量結合を減少させるのに用いられて良い。
インピーダンス整合ネットワークは、反射出力を減少させることによって、プラズマへのRF出力の移送を改善するのに用いられて良い。整合ネットワーク形態(たとえばL型、π型、T型等)及び自動制御方法は当業者には周知である。
例として、正電荷の放電においては、電子密度は約1010cm-3〜約1013cm-3の範囲であって良く、かつ電子温度は約1eV〜約10eVの範囲であって良い(利用されるプラズマ源の種類に依存する)。
それに加えて図2に図示されているように、前記プラズマ生成チャンバ105は、前記第1プラズマ143と接する境界として機能する伝導性表面を有する直流(DC)伝導性電極106を有する。前記DC伝導性接地電極106はDC接地と結合する。前記DC伝導性接地電極106は、前記の第1プラズマポテンシャル(Vp,1)の第1プラズマ143によって駆動するイオンシンクとして機能する。図2には一のDC伝導性接地電極106が図示されているが、当該化学プロセスシステム101は1つ以上のDC伝導性接地電極を有して良い。
必要ないとはいえ、前記DC伝導性接地電極106は、前記第1プラズマ143と接する比較的大きな領域を有することが望ましい。DC状態での接地面積が大きくなればなるほど、前記第1プラズマポテンシャルは小さくなる。たとえば前記の第1プラズマ143と接するDC伝導性接地電極106の伝導性表面の面積は、前記第1プラズマ143と接する他の表面積よりも大きくて良い。それに加えてたとえば、前記の第1プラズマ143と接するDC伝導性接地電極106の伝導性表面の面積は、前記第1プラズマ143と接する他の表面積の総和よりも大きくて良い。あるいはその代わりに、例として、前記の第1プラズマ143と接するDC伝導性接地電極106の伝導性表面の面積は、前記第1プラズマ143に接する唯一の伝導性表面であっても良い。前記DC伝導性接地電極106は接地電位への最低インピーダンス経路を供して良い。
さらに図2を参照すると、当該化学プロセスシステム101は、前記プロセスチャンバ101と結合するバイアス電極システム180をさらに有する。前記バイアス電極システム180は、前記電子束を駆動させるため、前記第2プラズマポテンシャルを、前記第1プラズマポテンシャルの値よりも大きな値にするように備えられている。前記バイアス電極システム180は、前記第2プラズマ153と接する伝導性表面を有するDC伝導性バイアス電極182を有する。前記DC伝導性バイアス電極182は絶縁体184を介して前記プロセスチャンバ110から電気的に絶縁される。前記DC伝導性バイアス電極182はDC電源186と結合する。前記DC伝導性バイアス電極182は伝導性材料−たとえば金属又はドーピングされたシリコン−で構成される。図2には一のDC伝導性バイアス電極182しか図示されていないが、当該化学プロセスシステム101は1つ以上のDC伝導性バイアス電極182を有しても良い。
必要ないとはいえ、前記DC伝導性接地電極182は、前記第2プラズマ153と接する比較的大きな領域を有することが望ましい。+VDCでの面積が大きくなればなるほど、前記第2プラズマポテンシャルは+VDCに近くなる。例として、前記DC伝導性バイアス電極182の総面積は、前記第2プラズマ153と接する他すべての伝導性表面の総面積よりも大きくて良い。あるいはその代わりに、前記DC伝導性バイアス電極182の総面積は、前記第2プラズマ143に接する唯一の伝導性表面であっても良い。
前記電源186は可変DC電源を有して良い。それに加えて前記DC電源186はバイポーラDC電源を有して良い。前記DC電源186は、該DC電源186の極性、電流、電圧、若しくはオン/オフ状態の監視、調節、又は制御のうちの少なくとも1つを実行するように備えられたシステムをさらに有して良い。電気フィルタは、前記DC電源186からRF出力を分離するのに利用されて良い。
たとえば前記のDC電源186によってDC伝導性バイアス電極182に印加されるDC電圧は約0ボルト(V)〜約10000Vの範囲であって良い。望ましくは前記のDC電源186によってDC伝導性バイアス電極182に印加されるDC電圧は約50ボルト(V)〜約5000Vの範囲であって良い。それに加えて、前記DC電圧は正の極性を有することが望ましい。さらに、前記DC電圧は約50Vよりも大きな絶対値を有する正の電圧である。
図2に図示されているように、前記プロセスチャンバ110は接地電位に結合可能な筐体111を有する。それに加えてライナ部材188が、前記チャンバ筐体111と前記第2プラズマ153との間に設けられて良い。前記ライナ部材188は誘電材料−たとえば石英又はアルミナ−から作られて良い。前記ライナ部材188は、接地電位への高RFインピーダンスを前記第2プラズマ153に供して良い。さらに電気フィードスルー187が、前記DC伝導性バイアス電極182への電気的接続を可能にするように備えられる。
さらに図2を参照すると、分離部材170が前記第1プラズマ領域142と第2プラズマ領域152との間に設けられる。前記分離部材170は1つ以上の開口部172を有する。前記1つ以上の開口部172は、前記第2プラズマ領域152に前記第2プラズマ153を生成するため、前記第1プロセスガス及び電子束150が、前記第1プラズマ領域142内の第1プラズマ143から前記第2プラズマ領域152へ通ることができるように備えられている。
前記の分離部材170内の1つ以上の開口部172は超デバイ長アパーチャ−つまり横方向の寸法すなわち直径がデバイ長よりも長い−を有して良い。前記1つ以上の開口部172は適切な電子輸送を可能にするのに十分な大きさであって良い。前記1つ以上の開口部172は、前記第1プラズマポテンシャルと第2プラズマポテンシャルとの間での十分高いポテンシャル差をとることを可能とし、かつ前記第2プラズマ153と第1プラズマ143との間での逆イオン電流を減少させるのに十分なだけ小さくて良い。さらに前記1つ以上の開口部172は、前記の第1プラズマ領域142の第1圧力と、前記の第2プラズマ領域152の第2圧力との間での圧力差を維持するのに十分な程度に小さくて良い。
前記DC伝導性接地電極106がDC接地電位と結合しているとはいえ、前記DC伝導性接地電極106は、前記DC伝導性バイアス電極182に結合するバイアスDC電圧未満のDC電圧と結合しても良い。
図2に図示されているように、電子束150は、分離部材170を介して前記第1プラズマ領域142と第2プラズマ領域152との間で生じる。電子輸送は電場によって促進される拡散によって駆動される。前記電場は、前記第1プラズマポテンシャルと第2プラズマポテンシャルとの間のポテンシャル差によって発生する。前記電子束150は、前記第2プラズマ153における電離を維持するのに十分高いエネルギーを有する。
真空排気システム130はたとえば、前記第2プラズマ領域152の圧力を制御するため、最大で5000l/sec(以上)の排気速度での排気が可能なターボ分子真空ポンプ(TMP)及びチャンバ圧力をしぼるゲートバルブを有して良い。さらにチャンバ圧力の監視装置(図示されていない)が、前記プロセスチャンバ110と結合して良い。圧力を測定する装置はたとえば、MKSインスツルメンツによって市販されている628B型のバラトロン絶対キャパシタンスマノメータであって良い。
さらに図2を参照すると、前記基板ホルダ120は接地電位と結合して良い。前記基板ホルダ120が接地電位と結合する場合、前記基板125は浮遊接地電位の接地であるため、前記第2プラズマ153と接する接地電位だけが基板125によって供される浮遊接地電位の接地電位である。たとえば前記基板125が基板ホルダ120に固定されているとき、セラミックの静電固定(ESC)層は、前記の接地された基板ホルダ120から前記基板125を絶縁して良い。
あるいはその代わりに、当該化学プロセスシステム101は、前記基板ホルダ120と結合し、かつ基板125に電気的バイアス印加を行うように備えられた基板バイアスシステムを有して良い。たとえば前記基板ホルダ120は、任意のインピーダンス整合ネットワークを介してRF発生装置と結合する電極を有して良い。前記基板ホルダ120に出力を印加する際の典型的な周波数は約0.1MHz〜約100MHzの範囲であって良い。
さらに図2を参照すると、当該化学プロセスシステム101は、前記基板ホルダ120と結合し、かつ前記基板125の温度を調節及び制御するように備えられた基板温度制御システムを有して良い。前記基板温度制御システムは温度制御素子を有して良い。前記温度制御素子とはたとえば、基板ホルダ120から熱を受け取り、かつ熱を熱交換システム(図示されていない)へ送り、あるいは加熱するときには熱を熱交換システムへ送る再循環冷媒流を有する冷却システムである。それに加えて前記温度制御素子は加熱/冷却素子を有して良い。加熱/冷却素子とはたとえば抵抗加熱素子又は熱電ヒーター/クーラーである。加熱/冷却素子は、前記基板ホルダ120内に含まれて良く、それだけではなく、前記プロセスチャンバ110のチャンバ壁内及び当該化学プロセスシステム101内部の他の部品内部に含まれても良い。
基板125と基板ホルダ120との間での熱伝導を改善するため、基板ホルダ120は、基板125を基板ホルダ120の上側表面に固定する機械的又は電気的固定システム−たとえば静電固定(ESC)システム−を有して良い。さらに基板ホルダ120は、基板125と基板ホルダ120との間でのガスギャップ熱伝導を改善するため、前記基板125の背面にガスを導入するように備えられている基板背面ガス供給システムをさらに有して良い。そのようなシステムは、基板内の複数の場所での温度が上昇又は下降するように制御するときに利用されて良い。たとえば前記背面ガス供給システムは二領域ガス分配システムを有して良い。前記二領域ガス分配システムでは、Heガスギャップ圧力が、基板125の中心と端部との間で独立に変化することができる。
図2に図示されているように、前記基板ホルダ120は、該基板ホルダ120の周辺端部を超えて延在するバフル部材121によって取り囲まれて良い。前記バフル部材121は、前記真空排気システム130によって供給される排気速度を前記第2プラズマ領域152へ均一に分配するように機能して良い。前記バフル部材121は誘電材料−たとえば石英又はアルミナ−から作られて良い。前記バフル部材121は、接地電位への高RFインピーダンスを前記第2プラズマ153に供して良い。
さらに図2を参照すると、当該化学プロセスシステム101は制御装置190をさらに有して良い。制御装置190は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。そのデジタルI/Oポートは、当該化学プロセスシステム101からの出力を監視するだけではなく、当該化学プロセスシステム101の入力とのやり取りや起動を行うのに十分な制御電圧を発生させることができる。しかも制御装置190は、第1ガス注入システム144と電源146を有するプラズマ生成システム140、任意の第2ガス注入システム154とDC電源186を有する電極バイアスシステム180、基板ホルダ120、及び真空排気システム130と結合して、これらと情報のやり取りを行って良い。たとえばメモリ内に記憶されたプログラムは、基板125の処理方法を実行するため、記憶されたプロセスレシピに従って当該化学プロセスシステム101の上記構成要素を制御するのに利用されて良い。
制御装置190は、メモリ内に格納されている1以上の命令に係る1以上のシーケンスを実行するプロセッサに応答して、マイクロプロセッサに基づいた本発明の処理工程の一部又は全部を実行する汎用コンピュータシステムとして実装されても良い。係る命令は、他のコンピュータによる読み取りが可能な媒体−たとえばハードディスク又は取り外し可能な媒体ドライブ−から制御装置のメモリへ読み込まれて良い。多重処理装置内の1つ以上のプロセッサもまた、主メモリ内に格納された命令のシーケンスを実行する制御装置のマイクロプロセッサとして用いられても良い。代替実施例では、配線回路が、ソフトウエアの代わりに又はそれと一緒に用いられて良い。
制御装置190は、少なくとも1つのコンピュータによる読み取りが可能な媒体又はメモリ−たとえば制御装置メモリ−を有して良い。前記少なくとも1つのコンピュータによる読み取りが可能な媒体又はメモリは、本発明の教示に従ってプログラミングされた命令を保持し、かつ本明細書に記載されたデータ構造、テーブル、レコード又は他のデータを有する。
本明細書で用いられている“コンピュータによる読み取りが可能な媒体”という語は、実行するための制御装置190のプロセッサへ命令を供することに関与する媒体を意味する。コンピュータによる読み取りが可能な媒体は如何なる形式を取っても良い。コンピュータによる読み取りが可能な媒体には、不揮発性媒体及び透過性媒体が含まれるが、これらに限定されるわけではない。不揮発性媒体にはたとえば、ハードディスクや取り外し可能な媒体ドライブのような、光学ディスク、磁気ディスク、及び磁気光学ディスクが含まれる。揮発性媒体には主メモリのようなダイナミックメモリが含まれる。しかも、実行用の制御装置のプロセッサへ1つ以上の命令を含む1つ以上のシーケンスを実行する際には、様々な形式のコンピュータによる読み取りが可能な媒体が含まれて良い。たとえば命令は最初離れた位置にあるコンピュータの磁気ディスク上で実行されて良い。その離れた位置にあるコンピュータは、離れた場所から命令を読み取ってダイナミックメモリへ送り、ネットワークを介して命令を制御装置190へ送る。
制御装置190を制御し、装置を駆動し、及び/又は制御装置が人間であるユーザーと相互作用できるようにするソフトウエアは、コンピュータによる読み取りが可能な媒体(の結合)に保存されて良い。係るソフトウエアには、装置のドライバ、OS、開発ツール、及びアプリケーションが含まれて良いが、これらに限定されるわけではない。係るコンピュータによる読み取りが可能な媒体はさらに、上述の処理の一部(プロセスが分配される場合)又は全部を実行するコンピュータプログラム製品をも含む。
コンピュータコード装置は、如何なる解釈可能又は実行可能なコード機構であって良い。コンピュータコード装置には、解釈可能なプログラム、ダイナミックリンクライブラリ(DLLs)、Javaクラス、及び完全に実行可能なプログラムが含まれるが、これらに限定されるわけではない。しかも処理のほとんどは、性能、信頼性、及び/又はコストを向上するために分配されて良い。
制御装置190は、当該化学プロセスシステム101に対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して処理システム1に対して離れた場所に設置されても良い。よって制御装置190は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによって当該化学プロセスシステム101とのデータのやり取りをして良い。制御装置190は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。
ここで図3を参照すると、本発明の他の実施例による化学プロセスシステム101’が供されている。当該化学プロセスシステム101’は、図2に図示された化学プロセスシステム101と同様の構成要素を有する。しかし化学プロセスシステム101’は、前記プラズマ生成チャンバ105の上方に設けられた誘導コイル148’を有するプラズマ生成システム140’を有する。前記誘導コイル148’は、変成器結合プラズマ(TCP)反応装置内における上方からプラズマ処理領域45とやり取りする「螺旋」又は「パンケーキ」コイルである。RF出力が、誘導コイル148’から誘電体窓108’を介して前記第1プラズマ領域142内の第1プラズマ143に誘導結合される。誘導結合プラズマ(ICP)源又は変成器結合プラズマ(TCP)源の設計及び実装は当業者には周知である。
それに加えて図3に図示されているように、前記プラズマ生成チャンバ105は、前記第1プラズマ143と接する境界として機能する伝導性表面を有する直流(DC)伝導性接地電極106’を有する。前記DC伝導性接地電極106’はDC接地電位と結合する。
ここで図4を参照すると、本発明の他の実施例による化学プロセスシステム101’’が供されている。当該化学プロセスシステム101’’は、図2に図示された化学プロセスシステム101と同様の構成要素を有する。しかし化学プロセスシステム101’’は、前記のプラズマ生成チャンバ105の第1プラズマ領域142に設けられた誘導コイル148’’を有するプラズマ生成システム140’’を有する。前記誘導コイル148’’は、円筒形誘電体窓挿入部108’’によって前記第1プラズマ143から隔離されている。前記誘導コイル148’’は、電源146と結合する円筒形コイル−たとえばヘリカルコイル−である。RF出力は、誘導コイル148’’から前記円筒形誘電体窓挿入部108’’を介して前記の第1プラズマ領域142内の第1プラズマ143と誘導結合する。ICP源の設計及び実装は当業者には周知である。
それに加えて図4に図示されているように、前記プラズマ生成チャンバ105は、前記第1プラズマ143と接する境界として機能する伝導性表面を有する直流(DC)伝導性接地電極106’’を有する。前記DC伝導性接地電極106’はDC接地電位と結合する。図4に図示されているように、前記誘導コイル148’’が前記第1プラズマ143内に位置しているので、前記DC伝導性接地電極106’’は、前記プラズマ生成チャンバ105の内側の面の大部分を占める表面積を有する。
ここで図5を参照すると、本発明の実施例による、基板を処理するプラズマ処理システムの動作方法が供されている。フローチャート400は、プラズマを用いて基板処理を助けるように備えられたプラズマ処理システム内に基板を設ける工程410で開始される。前記プラズマ処理チャンバは、図1A、図1B、図2、図3、及び図4に記載されたプラズマ処理システムのうちのいずれか1つの構成要素を有して良い。
420では、第1プラズマは、第1プラズマ領域内で第1プラズマポテンシャルをとる第1プロセスガスから生成される。図1A、図1B、図2、図3、及び図4に図示されているように、前記第1プラズマを生成するため、前記第1プラズマ領域はプラズマ生成チャンバ内に設けられて良く、かつプラズマ生成システムは前記プラズマ生成チャンバと結合して良い。
430では、前記第1プラズマからの電子束を用いることによって、第2プラズマが、第2プラズマ領域内に第2プラズマポテンシャルで生成される。前記の第1プラズマ領域の第1プラズマからの電子束は、前記プラズマ生成チャンバから分離部材を通り抜けて、前記基板が処理されるプロセスチャンバへ向かう。図1A、図1B、図2、図3、及び図4に図示されているように、前記第2プラズマ領域はプロセスチャンバ内に設けられて良い。前記プラズマ生成チャンバと前記プロセスチャンバとの間に設けられた分離部材内の1つ以上の開口部が、前記第1プラズマ領域から前記第2プラズマ領域への電子の輸送又は供給を促進する。
440では、前記第2プラズマポテンシャルが前記第1プラズマポテンシャルよりも大きな値にされることで、前記電子束が制御される。前記の第1プラズマ領域の第1プラズマは、境界で駆動するプラズマ(つまり前記プラズマ境界は各対応するプラズマポテンシャルに相当な影響を及ぼす)であって良い。前記の第1プラズマと接する境界の一部又は全部はDC接地電位と結合する。それに加えて前記の第2プラズマ領域の第2プラズマは境界駆動プラズマであって良い。前記第2プラズマと接する境界の一部又は全部は+VDCであるDC電源と結合する。図1A、図1B、図2、図3、及び図4供された実施例のうちのいずれか1つ又はこれらの実施例の組み合わせを実行することによって、前記第2プラズマポテンシャルを前記第1プラズマポテンシャルよりも高くすることができる。
450では、前記プロセスチャンバへ入り込むガスが真空排気システムによって排気されることで、前記プロセスチャンバの圧力が制御される。460では、前記基板が、前記の第2プラズマ領域内の第2プラズマに暴露される。前記の基板を第2プラズマに暴露する工程は、単色の空間電荷が中性化した中性ビームによって活性化された化学プロセスに前記基板を暴露する工程を有して良い。
たとえ本発明の特定の実施例しか上で記載されていなかったとしても、当業者は、本発明の新規な教示及び利点から実質的に逸脱することなく典型的実施例の範囲内で多くの修正型にすぐ想到する。従って全ての係る修正型は本発明の技術的範囲内に含まれるものと解される。

Claims (23)

  1. 基板を処理するように備えられた化学プロセスシステムであって:
    第1圧力で第1プロセスガスを受け入れるように備えられた第1プラズマ領域を有するプラズマ生成チャンバ;
    前記第1プラズマ領域からガスが流れる先に設けられ、かつ第2圧力で前記第1プラズマ領域から前記第1プロセスガスを受け入れるように備えられた第2プラズマ領域を有するプロセスチャンバ;
    前記プラズマ生成チャンバと結合し、かつ前記第1プロセスガスを前記第1プラズマ領域に導入するように備えられた第1ガス注入システム;
    前記プラズマ生成チャンバと結合し、かつ前記第1プロセスガスから前記第1プラズマ領域内で第1プラズマポテンシャルの第1プラズマを生成するように備えられたプラズマ生成システム;
    前記第1プラズマ領域と前記第2プラズマ領域との間に設けられた分離部材であって、前記第1プラズマ領域から前記第2プラズマ領域への電子束が、第2プラズマポテンシャルの第2プラズマを生成することを可能にするように備えられた1つ以上の開口部を有する分離部材;
    前記プロセスチャンバと結合し、かつ、前記電子束を制御するため、前記第2プラズマポテンシャルを前記第1プラズマポテンシャルよりも高くするように備えられたバイアス電極システム;
    前記プロセスチャンバと結合し、かつ、前記第2プラズマ領域付近で前記基板を支持するように備えられた基板ホルダ;並びに、
    前記プロセスチャンバと結合し、かつ、前記のプロセスチャンバ内の第2プラズマ領域を排気するように備えられた真空排気システム;
    を有する化学プロセスシステム。
  2. 前記プロセスチャンバと結合し、かつ前記第2プラズマ領域へ第2プロセスガスを導入するように備えられた第2ガス注入システム154をさらに有する、請求項1に記載の化学プロセスシステム。
  3. 前記プラズマ生成システムは、電源からの出力を前記の第1プラズマ領域内の第1プロセスガスに誘導結合する誘導コイルを有する、請求項1に記載の化学プロセスシステム。
  4. 前記プラズマ生成システムは、容量結合プラズマ(CCP)源、誘導結合プラズマ(ICP)源、変成器結合プラズマ(TCP)源、表面波プラズマ源、ヘリコン波プラズマ源、電子サイクロトロン共鳴(ECR)プラズマ源、又は上記2つ以上の組み合わせを有する、請求項1に記載の化学プロセスシステム。
  5. 前記プラズマ生成チャンバは、前記第1プラズマと接する境界として機能する伝導性表面を有する少なくとも1つの直流(DC)伝導性電極を有し、かつ
    前記少なくとも1つのDC伝導性接地電極はDC接地電位と結合する、
    請求項1に記載の化学プロセスシステム。
  6. 前記少なくとも1つのDC伝導性接地電極はドーピングされたシリコン電極を有する、請求項5に記載の化学プロセスシステム。
  7. 前記の少なくとも1つのDC伝導性接地電極は前記第1プラズマと接する伝導性表面を有し、かつ
    前記伝導性表面の面積は、前記の第1プラズマと接する他の表面積よりも大きい、
    請求項5に記載の化学プロセスシステム。
  8. 前記分離部材が誘電材料で構成される、請求項1に記載の化学プロセスシステム。
  9. 前記の分離部材内の1つ以上の開口部がデバイ長以上の直径を有する、請求項1に記載の化学プロセスシステム。
  10. 前記バイアス電極システムは、前記第2プラズマと接する伝導性表面を有する少なくとも1つのDC伝導性バイアス電極を有し、かつ
    前記少なくとも1つのDC伝導性バイアス電極はDC電源と結合する、
    請求項1に記載の化学プロセスシステム。
  11. 前記少なくとも1つのDC伝導性バイアス電極はドーピングされたシリコン電極を有する、請求項10に記載の化学プロセスシステム。
  12. 前記DC電源が、50V〜1000Vの範囲であるDC電圧で前記少なくとも1つのDC伝導性バイアス電極にバイアス印加するように備えられている、請求項10に記載の化学プロセスシステム。
  13. 前記プロセスチャンバが:
    DC伝導性材料から作られ、かつ接地電位に結合するチャンバ筐体;
    誘電材料から作られ、かつ前記第2プラズマから前記チャンバ筐体を電気的に絶縁するように備えられているライナ部材;
    前記少なくとも1つのDC伝導性バイアス電極への電気的接続を可能にするように備えられている電気フィードスルー;及び、
    前記少なくとも1つのDC伝導性バイアス電極と前記チャンバ筐体との間に設けられた電極絶縁体であって、前記少なくとも1つのDC伝導性バイアス電極を前記チャンバ筐体から電気的に絶縁するように備えられている電極絶縁体;
    を有する、
    請求項10に記載の化学プロセスシステム。
  14. 前記基板ホルダがDC接地電位と結合し、かつ
    前記基板がDC接地電位又は浮遊接地電位である、
    請求項1に記載の化学プロセスシステム。
  15. 前記プラズマ生成システム、前記バイアス電極システム、前記プロセスチャンバ、前記第1ガス注入システム、前記基板ホルダ、及び前記真空排気システムと結合する制御装置をさらに有する請求項1に記載の化学プロセスシステムであって、
    前記制御装置は、前記プラズマ生成システムによって前記の第1プラズマ領域内の第1プラズマに結合される出力、前記バイアス電極システムによって前記第2プラズマと結合されるDC電圧、前記プラズマ生成チャンバと結合する前記第1プロセスガスの組成、前記プラズマ生成チャンバと結合する前記第1プロセスガスの流速、前記プロセスチャンバと結合する排気速度、若しくは前記基板の温度、又は上記2つ以上の組み合わせのうちの少なくとも1つを変化させることによって前記第2プラズマの調節又は制御を行うように備えられている、
    化学プロセスシステム。
  16. 基板を処理するように備えられた化学プロセスシステムであって:
    第1プラズマポテンシャルで第1プラズマを生成する第1プラズマチャンバ;
    前記第1プラズマポテンシャルよりも大きい第2プラズマポテンシャルで第2プラズマを生成する第2プラズマチャンバであって、前記第2プラズマは前記第1プラズマからの電子束を用いて生成される、第2プラズマチャンバ;及び
    前記第2プラズマチャンバ内に基板を設置するように備えられた基板ホルダ;
    を有する、化学プロセスシステム。
  17. 前記第1プラズマがDC接地電圧で第1境界によって駆動され、かつ
    前記第2プラズマがDCバイアス電圧で第2境界によって駆動される、
    請求項16に記載の化学プロセスシステム。
  18. 前記基板ホルダがDC接地電位と結合し、かつ
    前記基板がDC接地電位又は浮遊接地電位である、
    請求項16に記載の化学プロセスシステム。
  19. 前記第1プラズマチャンバが、前記第1プラズマと接する伝導性表面を有する少なくともDC伝導性接地電極を有し、かつ
    前記少なくともDC伝導性接地電極はDC接地電位と結合する、
    請求項16に記載の化学プロセスシステム。
  20. 前記第1プラズマチャンバと前記第2プラズマチャンバとの間に設けられた分離部材をさらに有する請求項16に記載の化学プロセスシステムであって、
    前記分離部材は、前記第1プラズマから前記第2プラズマへの電子束を可能にするように備えられた1つ以上の開口部を有し、かつ
    前記分離部材は誘電材料で構成される、
    化学プロセスシステム。
  21. 前記第2プラズマ領域が、前記第2プラズマと接する伝導性表面を有する少なくとも1つのDC伝導性バイアス電極を有し、かつ
    前記少なくとも1つのDC伝導性バイアス電極がDC電源と結合する、
    請求項16に記載の化学プロセスシステム。
  22. 基板の処理方法であって:
    前記基板を処理するように備えられたプロセスチャンバ内に基板を設ける工程;
    第1プラズマ領域内で第1プラズマポテンシャルの第1プラズマを生成する工程;
    前記第1プラズマ領域からの電子束を用いることによって、第2プラズマ領域内で第2プラズマポテンシャルの第2プラズマを生成する工程;
    前記電子束を制御するため、前記第2プラズマポテンシャルを前記第1プラズマポテンシャルよりも高くする工程;
    前記プロセスチャンバ内の圧力を制御する工程;並びに、
    前記基板を前記第2プラズマに暴露する工程;
    を有する方法。
  23. 前記の基板を前記第2プラズマに暴露する工程が、単色の空間電荷が中性化した中性ビームによって活性化された化学プロセスに前記基板を暴露する工程を有する、請求項22に記載の方法。
JP2011500969A 2008-03-21 2009-03-20 化学プロセスシステム Active JP5678351B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/053,008 2008-03-21
US12/053,008 US9520275B2 (en) 2008-03-21 2008-03-21 Mono-energetic neutral beam activated chemical processing system and method of using
PCT/US2009/037736 WO2009117624A2 (en) 2008-03-21 2009-03-20 Mono-energetic neutral beam activated chemical processing system and method of using

Publications (2)

Publication Number Publication Date
JP2011518408A true JP2011518408A (ja) 2011-06-23
JP5678351B2 JP5678351B2 (ja) 2015-03-04

Family

ID=41087845

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011500969A Active JP5678351B2 (ja) 2008-03-21 2009-03-20 化学プロセスシステム

Country Status (6)

Country Link
US (2) US9520275B2 (ja)
JP (1) JP5678351B2 (ja)
KR (1) KR101592613B1 (ja)
CN (1) CN101999155B (ja)
TW (1) TWI430716B (ja)
WO (1) WO2009117624A2 (ja)

Cited By (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013517600A (ja) * 2010-01-15 2013-05-16 東京エレクトロン株式会社 切り換え可能な中性ビーム源
JP2015050362A (ja) * 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2015529972A (ja) * 2012-08-02 2015-10-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善された制御のためにdc支援rf電力を使用する半導体処理
KR20160051619A (ko) * 2014-10-31 2016-05-11 도쿄엘렉트론가부시키가이샤 비-이극성 전자 플라즈마에 의해 이방성 및 모노-에너제틱 뉴트럴 빔을 제공하기 위한 방법 및 장치
JP2017022392A (ja) * 2011-04-11 2017-01-26 ラム リサーチ コーポレーションLam Research Corporation 半導体処理のための電子ビーム強化式分離型プラズマ源
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
JP2018037281A (ja) * 2016-08-31 2018-03-08 東京エレクトロン株式会社 プラズマ処理装置
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018136121A1 (en) * 2017-01-17 2018-07-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
JP2019179921A (ja) * 2011-10-27 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k及びその他の誘電体膜をエッチングするための処理チャンバ
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TWI733070B (zh) * 2017-12-27 2021-07-11 美商得昇科技股份有限公司 電漿處理裝置
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
WO2022076179A1 (en) * 2020-10-06 2022-04-14 Applied Materials, Inc. Low current high ion energy plasma control system
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE532203T1 (de) * 2004-08-27 2011-11-15 Fei Co Lokalisierte plasmabehandlung
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
DE102010039365B4 (de) * 2010-08-16 2016-03-24 Forschungsverbund Berlin E.V. Plasma-Prozesse bei Atmosphärendruck
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2013063234A1 (en) * 2011-10-26 2013-05-02 Exogenesis Corporation Diagnostic method and apparatus for characterization of a neutral beam and for process control therewith
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
US20140273538A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Non-ambipolar electric pressure plasma uniformity control
US9978568B2 (en) 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
US9697993B2 (en) * 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP6247087B2 (ja) 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
US20160013020A1 (en) * 2014-07-14 2016-01-14 Lam Research Corporation Systems and methods for producing energetic neutrals
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10354841B2 (en) * 2015-04-07 2019-07-16 Tokyo Electron Limited Plasma generation and control using a DC ring
JP6446418B2 (ja) * 2016-09-13 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
WO2018187494A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gas phase particle reduction in pecvd chamber
TWI658750B (zh) * 2017-06-02 2019-05-01 北京北方華創微電子裝備有限公司 用於處理工件的電漿反應裝置
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
JP7030204B2 (ja) * 2018-09-20 2022-03-04 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法およびプログラム
US11205562B2 (en) 2018-10-25 2021-12-21 Tokyo Electron Limited Hybrid electron beam and RF plasma system for controlled content of radicals and ions
GB201904587D0 (en) * 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus
US11393662B2 (en) 2019-05-14 2022-07-19 Tokyo Electron Limited Apparatuses and methods for plasma processing
US11152194B2 (en) 2019-05-14 2021-10-19 Tokyo Electron Limited Plasma processing apparatuses having a dielectric injector

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144774A (ja) * 1991-11-22 1993-06-11 Anelva Corp プラズマ処理装置
JPH07263353A (ja) * 1994-03-22 1995-10-13 Mitsubishi Electric Corp プラズマ処理装置及びプラズマ処理方法
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JPH09289193A (ja) * 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd プラズマ発生装置及びその方法、並びにプラズマ処理装置及びその方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496448A (en) * 1983-10-13 1985-01-29 At&T Bell Laboratories Method for fabricating devices with DC bias-controlled reactive ion etching
US5780313A (en) * 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5350480A (en) 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07221068A (ja) * 1994-01-31 1995-08-18 Sony Corp プラズマ装置およびこれを用いたドライエッチング方法
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH10270430A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
KR100428813B1 (ko) * 2001-09-18 2004-04-29 주성엔지니어링(주) 플라즈마 발생장치 및 이를 이용한 SiO₂박막 식각방법
AU2002366943A1 (en) 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US6896775B2 (en) * 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN1973363B (zh) * 2004-06-21 2011-09-14 东京毅力科创株式会社 等离子体处理装置和方法
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US7922880B1 (en) * 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144774A (ja) * 1991-11-22 1993-06-11 Anelva Corp プラズマ処理装置
JPH07263353A (ja) * 1994-03-22 1995-10-13 Mitsubishi Electric Corp プラズマ処理装置及びプラズマ処理方法
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JPH09289193A (ja) * 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd プラズマ発生装置及びその方法、並びにプラズマ処理装置及びその方法

Cited By (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013517600A (ja) * 2010-01-15 2013-05-16 東京エレクトロン株式会社 切り換え可能な中性ビーム源
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
JP2017022392A (ja) * 2011-04-11 2017-01-26 ラム リサーチ コーポレーションLam Research Corporation 半導体処理のための電子ビーム強化式分離型プラズマ源
JP2019179921A (ja) * 2011-10-27 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k及びその他の誘電体膜をエッチングするための処理チャンバ
US11410860B2 (en) 2011-10-27 2022-08-09 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2015529972A (ja) * 2012-08-02 2015-10-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善された制御のためにdc支援rf電力を使用する半導体処理
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP2015050362A (ja) * 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9668332B2 (en) 2014-10-31 2017-05-30 Tokyo Electron Limited Method and apparatus for providing an anisotropic and mono-energetic neutral beam by non-ambipolar electron plasma
KR102442816B1 (ko) * 2014-10-31 2022-09-14 도쿄엘렉트론가부시키가이샤 비-이극성 전자 플라즈마에 의해 이방성 및 모노-에너제틱 뉴트럴 빔을 제공하기 위한 방법 및 장치
KR20160051619A (ko) * 2014-10-31 2016-05-11 도쿄엘렉트론가부시키가이샤 비-이극성 전자 플라즈마에 의해 이방성 및 모노-에너제틱 뉴트럴 빔을 제공하기 위한 방법 및 장치
JP2016092006A (ja) * 2014-10-31 2016-05-23 東京エレクトロン株式会社 非双極性電子プラズマによって異方的な単色中性ビームを供する方法及び装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP2018037281A (ja) * 2016-08-31 2018-03-08 東京エレクトロン株式会社 プラズマ処理装置
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
WO2018136121A1 (en) * 2017-01-17 2018-07-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
TWI733070B (zh) * 2017-12-27 2021-07-11 美商得昇科技股份有限公司 電漿處理裝置
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2022076179A1 (en) * 2020-10-06 2022-04-14 Applied Materials, Inc. Low current high ion energy plasma control system

Also Published As

Publication number Publication date
CN101999155B (zh) 2014-12-03
US20170062188A1 (en) 2017-03-02
TW201004491A (en) 2010-01-16
CN101999155A (zh) 2011-03-30
TWI430716B (zh) 2014-03-11
KR101592613B1 (ko) 2016-02-05
WO2009117624A3 (en) 2010-01-07
US9520275B2 (en) 2016-12-13
US10734200B2 (en) 2020-08-04
US20090236314A1 (en) 2009-09-24
JP5678351B2 (ja) 2015-03-04
KR20100126528A (ko) 2010-12-01
WO2009117624A2 (en) 2009-09-24

Similar Documents

Publication Publication Date Title
JP5678351B2 (ja) 化学プロセスシステム
US7358484B2 (en) Hyperthermal neutral beam source and method of operating
US7732759B2 (en) Multi-plasma neutral beam source and method of operating
US8083961B2 (en) Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US7772544B2 (en) Neutral beam source and method for plasma heating
US20090095714A1 (en) Method and system for low pressure plasma processing
TWI541853B (zh) 具有電漿鞘電位之基板的非雙極電子電漿(nep)處理用處理系統
US9697993B2 (en) Non-ambipolar plasma ehncanced DC/VHF phasor
US7875555B2 (en) Method for plasma processing over wide pressure range
JP2634313B2 (ja) 半導体ウェーハ製造用プラズマ処理方法
JPH11260596A (ja) プラズマ処理装置及びプラズマ処理方法
TW202130226A (zh) 載置台及電漿處理裝置
TWI719958B (zh) 電漿蝕刻方法
JP7101096B2 (ja) プラズマ処理方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130305

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140722

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140728

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141209

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141216

R150 Certificate of patent or registration of utility model

Ref document number: 5678351

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250