KR101592613B1 - 단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법 - Google Patents

단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법 Download PDF

Info

Publication number
KR101592613B1
KR101592613B1 KR1020107023351A KR20107023351A KR101592613B1 KR 101592613 B1 KR101592613 B1 KR 101592613B1 KR 1020107023351 A KR1020107023351 A KR 1020107023351A KR 20107023351 A KR20107023351 A KR 20107023351A KR 101592613 B1 KR101592613 B1 KR 101592613B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
substrate
conductive
processing system
Prior art date
Application number
KR1020107023351A
Other languages
English (en)
Other versions
KR20100126528A (ko
Inventor
리 첸
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100126528A publication Critical patent/KR20100126528A/ko
Application granted granted Critical
Publication of KR101592613B1 publication Critical patent/KR101592613B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Particle Accelerators (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

화학적 처리 시스템과, 기판을 단일 에너지의 공간 전하 중성화된 중성 빔 활성화된 화학적 처리로 처리하기 위하여 화학적 처리 시스템을 사용하는 방법을 설명한다. 화학적 처리 시스템은, 제1 플라즈마를 제1 플라즈마 전위에서 형성하기 위한 제1 플라즈마 챔버, 및 제1 플라즈마 전위보다 큰 제2 플라즈마 전위에서 제1 플라즈마로부터의 전자 플럭스를 사용하여 형성된 제2 플라즈마를 형성하기 위한 제2 플라즈마 챔버를 포함한다. 또한, 화학적 처리 시스템은 기판을 제2 플라즈마 챔버에 위치 결정하도록 구성된 기판 홀더를 포함한다.

Description

단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법{MONO-ENERGETIC NEUTRAL BEAM ACTIVATED CHEMICAL PROCESSING SYSTEM AND METHOD OF USING}
본 발명은 기판을 처리하는 방법 및 시스템에 관한 것이며, 보다 바람직하게는 기판의 중성 빔 활성화된 화학적 처리를 수행하는 방법 및 시스템에 관한 것이다.
반도체 처리 동안, 반도체 기판 상에 패터닝된 비아들(또는 컨택트들) 내의 또는 미세 라인들을 따른 재료의 이방성 제거를 용이하게 함으로써 에칭 처리들을 원조하는 데 플라즈마가 자주 이용된다. 이러한 플라즈마 원조 에칭의 예들은, 본질적으로 이온 활성화된 화학적 에칭 처리인 반응성 이온 에칭(reactive ion etching, RIE)을 포함한다.
그러나, RIE가 수십년 동안 사용되었지만, 그 성숙도(maturity)에는, (a) 광대한 이온 에너지 분포(ion energy distribution, IED), (b) 충전에서 유도되는 다양한 부작용, 및 (c) 피쳐(feature) 형상의 부하 효과(즉, 마이크로 부하)를 포함하는 일부 쟁점들이 동반된다. 이들 문제점들을 경감시키기 위한 하나의 접근법은 중성 빔 처리를 이용하는 것이다.
진정한 중성 빔 처리는, 본질적으로, 화학적 반응물, 첨가물, 및/또는 부식제로서 참가하는 임의의 중성 열적 종(species)없이 일어난다. 기판에서의 에칭 처리와 같은 화학적 처리는 입사하는(방향적 에너지의) 중성 종의 운동 에너지에 의하여 활성화되고, 입사하는(방향적 에너지의 그리고 반응성의) 중성 종은 또한 반응물 또는 부식제로서 기능한다.
중성 빔 처리의 하나의 당연한 결과는, 처리가 열적 종(RIE에서 부식제로서 기능하는)과 연관된 플럭스-각(flux-angle) 변화의 효과를 포함하지 않기 때문에, 마이크로 부하가 부재한다라는 것이다. 그러나, 마이크로 부하 결핍의 부정적인 결과는 에칭 효율성 1(unity)의 달성, 즉 최대 에칭률은 1이고, 또는 하나의 입사하는 중성 종은 공칭적으로 하나의 에칭 반응만을 촉진시킨다. 역으로, RIE에서의 풍부한 열적 중성 종(부식제)은 하나의 에너지의 입사 이온에 의한 활성화로, 막의 에칭에 모두 참가할 수 있다. 그러므로, 운동 에너지 활성화된(열적 중성 종) 화학적 에칭은, 마이크로 부하와 함께 존재하도록 하면서, 10, 100 및 심지어 1000의 에칭 효율성을 달성할 수 있다.
이들 문제점들, 즉 에칭 효율성, 마이크로 부하, 전하 손상 등을 해결하기 위한 많은 시도들이 행해졌으나, 이들 문제점들은 여전히 남아있고, 에칭 단체는 이러한 문제점에 대한 신규하고 실용적인 해결안을 계속 연구하고 있다.
본 발명은, 기판을 처리하는 방법 및 시스템에 관한 것이며, 보다 자세하게는 기판의 중성 빔 활성화된 화학적 처리를 수행하는 방법 및 시스템에 관한 것이다.
또한, 본 발명은, 기판을 공간 전하(space-charge) 중성화된 중성 빔 활성화된 화학적 처리로 처리하기 위한 화학적 처리 시스템 및 방법에 관한 것이다. 화학적 처리 시스템은, 제1 플라즈마 전위에서 제1 플라즈마를 형성하기 위한 제1 플라즈마 챔버, 및 제1 플라즈마 전위보다 큰 제2 플라즈마 전위에서 제2 플라즈마를 형성하기 위한 제2 플라즈마 챔버를 포함하며, 상기 제2 플라즈마는 제1 플라즈마로부터의 전자 플럭스를 사용하여 형성된다. 또한, 화학적 처리 시스템은 제2 플라즈마 챔버에 기판을 위치 결정하도록 구성된 기판 홀더를 포함한다.
일 실시예에 따르면, 제1 처리 가스를 제1 압력에서 받도록 구성된 제1 플라즈마 영역을 포함하는 플라즈마 생성 챔버; 제1 플라즈마 영역의 하류에 위치되며, 제2 압력에서 제1 플라즈마 영역으로부터 제1 처리 가스를 받도록 구성된 제2 플라즈마 영역을 포함하는 처리 챔버; 플라즈마 생성 챔버에 연결되며, 제1 플라즈마 영역에 제1 처리 가스를 도입하도록 구성된 제1 가스 주입 시스템; 플라즈마 생성 챔버에 연결되며, 제1 처리 가스로부터 제1 플라즈마 영역에서 제1 플라즈마 전위에서 제1 플라즈마를 생성하도록 구성된 플라즈마 생성 시스템; 제1 플라즈마 영역과 제2 플라즈마 영역 사이에 배치된 분리 부재로서, 상기 분리 부재는, 제2 플라즈마 전위에서 제2 플라즈마를 형성하기 위하여 제1 플라즈마 영역으로부터 제2 플라즈마 영역으로의 전자 플럭스를 허용하도록 구성된 하나 이상의 개구부들을 포함하는 것인 상기 분리 부재; 처리 챔버에 연결되며, 전자 플럭스를 제어하기 위하여 제2 플라즈마 전위를 제1 플라즈마 전위보다 높게 상승시키도록 구성된 바이어스 전극 시스템; 처리 챔버에 연결되며, 제2 플라즈마 영역에 근접한 기판을 지지하도록 구성된 기판 홀더; 및 처리 챔버에 연결되며, 처리 챔버에서의 제2 플라즈마 영역을 펌핑하도록 구성된 진공 펌핑 시스템을 포함하는, 기판을 처리하도록 구성된 화학적 처리 시스템을 설명한다.
다른 실시예에 따르면, 기판을 처리하도록 구성된 처리 챔버에 기판을 배치하는 단계; 제1 플라즈마 전위에서 제1 플라즈마 영역에 제1 플라즈마를 형성하는 단계; 제1 플라즈마 영역으로부터의 전자 플럭스를 사용하여 제2 플라즈마 전위에서 제2 플라즈마 영역에 제2 플라즈마를 형성하는 단계; 전자 플럭스를 제어하기 위하여 제2 플라즈마 전위를 제1 플라즈마 전위보다 높게 상승시키는 단계; 처리 챔버의 압력을 제어하는 단계; 및 기판을 제2 플라즈마에 노출시키는 단계를 포함하는, 기판을 처리하는 방법을 설명한다.
도 1a는 실시예에 따른 화학적 처리 시스템을 도시한다.
도 1b는 도 1a에 도시된 화학적 처리 시스템에서 수행될 화학적 처리에 대한 조건을 도시한다.
도 2는 실시예에 따른 화학적 처리 시스템을 도시한다.
도 3은 다른 실시예에 따른 화학적 처리 시스템을 도시한다.
도 4는 다른 실시예에 따른 화학적 처리 시스템을 도시한다.
도 5는 다른 실시예에 따라 기판을 처리하도록 구성된 플라즈마 처리 시스템을 동작시키는 방법을 도시한다.
다음 설명에서, 본 발명의 완전한 이해를 용이하게 하기 위하여 그리고 제한적이 아닌 설명의 목적으로, 플라즈마 처리 시스템의 특정 기하 구조 및 시스템 구성 요소들의 다양한 설명과 같은 특정 상세를 설명한다. 그러나, 본 발명은, 이들 특정 상세로부터 벗어나는 다른 실시예들로 실행될 수도 있다는 것이 이해되어야 한다.
그렇지만, 본 설명 내에 포함된 것은, 일반적인 개념의 신규한 성질이 설명되고 있지만, 또한 신규한 성질인 특징들이다.
일 실시예에 따르면, 기판의 중성 빔 활성화된 화학적 처리를 수행하기 위한 방법 및 시스템은, 그 중에서도 상술된 쟁점들의 일부 또는 전부를 경감시키기 위하여 제공된다. 중성 빔 활성화된 화학적 처리는 운동 에너지 활성화(즉, 열적 중성 종)를 포함하고, 따라서 이것은 높은 반응성 또는 에칭 효율성을 달성한다. 그러나, 중성 빔 활성화된 화학적 처리는 여기서 제공되는 바와 같이, 또한 단일 에너지의 활성화, 공간 전하 중성(neutrality) 및 하드웨어 실현 가능성을 달성한다.
이제 도면을 참조하여, 일부 도면들에 걸쳐 동일하거나 대응하는 부분들은 유사한 도면 부호로 지정되며, 도 1a 및 도 1b는 실시예에 따른 화학적 처리 시스템의 간략화된 개략도를 도시한다. 도 1a에 도시된 바와 같이, 기판의 공간 전하 중성화된 중성 빔 활성화된 화학적 처리를 수행하도록 구성된 화학적 처리 시스템(1)을 설명한다.
도 1a 및 도 1b에 도시된 바와 같이, 화학적 처리 시스템(1)은, 제1 플라즈마 전위(Vp,1)에서 제1 플라즈마(12)를 형성하기 위한 제1 플라즈마 챔버(10), 및 제1 플라즈마 전위보다 높은 제2 플라즈마 전위(Vp,2)에서 제2 플라즈마(22)를 형성하기 위한 제2 플라즈마 챔버(20)를 포함한다. 제1 플라즈마(12)는, 제1 플라즈마 챔버(10)에서 고주파(RF) 전력과 같은 전력을 이온화 가능한 가스와 결합시킴으로써 형성되고, 제2 플라즈마(22)는 제1 플라즈마(12)로부터의 전자 플럭스(예컨대, 에너지의 전자(ee) 전류, jee)를 사용하여 형성된다. 또한, 화학적 처리 시스템(1)은, 제2 플라즈마 전위에서 제2 플라즈마(22)에 노출될 기판(25)을, 제2 플라즈마 챔버(20)에서의 직류(DC) 접지 또는 부동(floating) 접지에 위치 결정시키도록 구성된 기판 홀더를 포함한다.
제1 플라즈마 챔버(10)는 제1 플라즈마(12)를 점화시켜 가열시키도록 구성된 플라즈마 생성 시스템(16)을 포함한다. 제1 플라즈마(12)는, 유도 결합 플라즈마(inductively coupled plasma, ICP) 소스, 트랜스포머 결합 플라즈마(transformer coupled plasma, TCP) 소스, 용량 결합 플라즈마(capacitively coupled plasma, CCP) 소스, 전자 사이클로트론 공명(electron cyclotron resonance, ECR) 소스, 헬리콘파 소스, 표면파 플라즈마 소스, 슬롯 평면 안테나를 갖는 표면파 플라즈마 소스 등을 포함하는(그러나, 이들에 제한되지 않는) 임의의 통상적인 플라즈마 생성 시스템에 의하여 가열될 수도 있다. 제1 플라즈마(12)가 임의의 플라즈마 소스에 의하여 가열될 수도 있어도, 제1 플라즈마(12)는, 그 플라즈마 전위(Vp,1)에서 감소되거나 최소의 변동을 생성하는 방법으로 가열되는 것이 바람직하다. 예컨대, ICP 소스는 감소되거나 최소의 Vp,1 변동을 생성하는 실제 기술이다.
또한, 제1 플라즈마 챔버(10)는, 제1 플라즈마(12)와 접촉하는 경계로서 동작하는 도전면을 갖는 직류(DC) 도전성 접지 전극(14)을 포함한다. DC 도전성 접지 전극(14)은 DC 접지에 연결된다. DC 도전성 접지 전극(14)은 제1 플라즈마 전위(Vp,1)에서 제1 플라즈마(12)에 의하여 구동되는 이온 싱크로서 동작한다. 도 1a에 하나의 DC 도전성 접지 전극(14)이 도시되어도, 화학적 처리 시스템(1)은 하나 이상의 DC 도전성 접지 전극들을 포함할 수도 있다.
필수적인 것은 아니지만, DC 도전성 접지 전극(14)은 제1 플라즈마(12)와 접촉하는 비교적 넓은 영역을 포함하는 것이 바람직하다. DC 접지에서의 영역이 클 수록, 제1 플라즈마 전위는 낮아진다. 예컨대, 제1 플라즈마(12)와 접촉하는 DC 도전성 접지 전극(14)에 대한 도전면의 표면적은, 제1 플라즈마(12)와 접촉하는 임의의 다른 표면적보다 클 수도 있다. 또한, 예컨대 제1 플라즈마(12)와 접촉하는 DC 도전성 접지 전극(14)에 대한 도전면의 표면적은, 제1 플라즈마(12)와 접촉하는 모든 다른 도전면들의 총 합보다 클 수도 있다. 대안적으로, 예로서, 제1 플라즈마(12)와 접촉하는 DC 도전성 접지 전극(14)에 대한 도전면은, 제1 플라즈마(12)와 접촉하는 도전면만일 수도 있다. DC 도전성 접지 전극(14)은 최저 임피던스 경로를 접지에 제공할 수도 있다.
상술된 바와 같이, 제1 플라즈마(12)로부터의 (에너지의)전자 플럭스(또는 전자 전류 jee)는 제2 플라즈마 챔버(20)에서 제2 플라즈마(22)를 개시하여 지속된다. 전자 플럭스를 제어하고, 단일 에너지의 공간 전하 중성화된 중성 빔을 생성하기 위하여, 상술된 바와 같은 제1 플라즈마 전위(Vp,1) 및 제2 플라즈마 전위(Vp,2)는, 변동이 있다고 해도 실질적으로 감소되거나 최소의 변동을 가지며 안정되어야 한다. 제2 플라즈마(22)에서의 이 안정성을 달성하기 위하여, 제2 플라즈마 챔버(20)는 제2 플라즈마(22)와 접촉하는 도전면을 갖는 DC 도전성 바이어스 전극(24)을 포함하고, 이 DC 도전성 바이어스 전극(24)은 DC 전압원(26)에 연결된다. DC 전압원(26)은 양의 DC 전압(+VDC)에서 DC 도전성 바이어스 전극(24)을 바이어스하도록 구성된다. 그 결과, 제2 플라즈마 전위(Vp,2)는 (+VDC) 전압원에 의하여 구동되는 경계 구동(boundary-driven) 플라즈마 전위이며, 따라서 Vp,2를 약 +VDC까지 상승시켜 실질적으로 안정되게 유지하게 한다. 하나의 DC 도전성 바이어스 전극(24)이 도 1a에 도시되었지만, 화학적 처리 시스템(1)은 하나 이상의 DC 도전성 바이어스 전극들을 포함할 수도 있다.
또한, 플라즈마 처리 시스템은, 제1 플라즈마 챔버(10)와 제2 플라즈마 챔버(20) 사이에 배치된 분리 부재(30)를 포함한다. 분리 부재(30)는 전자 확산기로서 동작할 수도 있다. 전자 확산은 전위차 ΔV = Vp,2 - Vp,1에 의하여 생성된 전자 가속층을 통하여 전계에 의하여 구동된다. 분리 부재(30)는 석영 또는 알루미나와 같은 절연체를 포함할 수도 있고, 또는 분리 부재(30)는, 전기적으로 부동(floating)이며, 접지에 대하여 높은 RF 임피던스를 갖는 유전성 코팅된 도전성 재료를 포함할 수도 있다. 전가 가속층에 걸친 큰 전계(∇z(Vp,2 - Vp,1))로 인하여, 전자 플럭스에는 제2 플라즈마(22)에서 이온화를 지속하기 위한 충분한 에너지가 있다. 그러나, 화학적 처리 시스템(1)은, 제2 플라즈마(22)를 또한 가열하도록 구성된 플라즈마 가열 시스템을 선택적으로 포함할 수도 있다.
분리 부재(30)는, 에너지의 전자 플럭스의 제1 플라즈마 챔버(10)로부터 제2 플라즈마 챔버(20)로의 통과를 허용하기 위한 하나 이상의 개구부들을 포함할 수도 있다. 하나 이상의 개구부들의 전체 영역은, 제2 플라즈마(22)로부터 제1 플라즈나(12)까지의 역 이온 전류를 최소화하면서, 비교적 큰 전위차 ΔV = Vp,2 - Vp,1를 보증하기 위하여, DC 도전성 접지 전극(14)의 표면적에 관하여 조정될 수 있고, 따라서 기판(25)에 이온을 충돌시키기 위한 충분한 이온 에너지를 보증한다.
도 1a에 도시된 바와 같이, 제1 플라즈마(12)에서의 이온의 제1 파퓰레이션(population)으로부터의 제1 이온 플럭스(예컨대, 이온 전류, ji1)는, 분리 부재(30)에서 전자 가속층을 통하여 제1 플라즈마(12)로부터 제2 플라즈마(22)로의 에너지의 전자 플럭스(또는 전자 전류 jee)와 대략 동일한 양으로 제1 플라즈마 챔버(10)의 DC 도전성 접지 전극(14)으로 흐른다(즉, │ji1│~│jee│).
상술된 바와 같이, 에너지의 전자 플럭스는 제2 플라즈마(22)를 형성하는 데 충분한 에너지를 갖는다. 여기서, 열 전자의 파퓰레이션 및 이온의 제2 파퓰레이션이 형성된다. 열 전자는 주로, 입사하는 에너지의 전자 플럭스(또는 전자 전류 jee)에 의하여 제2 플라즈마(22)의 이온화시 방출된 전자들의 결과이다. 그러나, 에너지의 전자 플럭스로부터의 일부 에너지의 전자들은 충분한 에너지량을 상실할 수도 있고, 따라서 열 전자 파퓰레이션의 일부가 된다.
디바이 차폐(Debye shielding)로 인하여, 제2 플라즈마(22)의 열 전자만이, 에너지 전자 플럭스와 대략 동일한 양으로 DC 도전성 바이어스 전극(24)(예컨대, 열 전자 전류, jte)으로 흐른다(즉, jte ~ jee). 열 전자 전류(jte)가 DC 도전성 바이어스 전극(24)으로 향하는 동안, 이온의 제2 파퓰레이션으로부터의 제2 이온 플럭스는 Vp,2에서 기판으로 향한다(이온 전류, ji2로서; 기판(25)에 대한 에너지의 전자 전류 jee, 및 에너지 전자가 생성된 2차 전자 전류 jese와의 합과 대략 동일).
입사하는 에너지의 전자 에너지가 충분히 높으면, 에너지의 전자 플럭스(jee)의 상당한 일부가 제2 플라즈마(22)를 통과하는 통로에서 살아 남아, 웨이퍼(25)에 충돌할 것이다. 그러나, 그 기원(즉, 에너지의 전자 플럭스(jee)로부터의 에너지의 전자들 또는 열 전자 파퓰레이션으로부터의 에너지의 전자들)에 상관없이, 기판 시스(sheath)를 관통할 수 있는(즉, 전위 "언덕(hill)", 또는 Vfe - Vp,1을 오를 수 있는, 여기서 Vfe는 에너지의 전자 부동 전위이다) 에너지의 전자들만이 기판(25)에 도달할 것이다. 기판(25)이 부동 DC 접지에 있으므로, 제2 플라즈마(22)에서의 제2 이온 파퓰레이션에 의하여 공급되는 (Vp,2 - Vfe을 특징으로 하는 이온 에너지를 갖는)이온 전류(ji2)는 전자 전류(je2)와 동일할 것이다(즉, 네트 전류 없음, 또는 │ji2│~│je2│, 또는 ji2 + je2 ~ ji2 + jee + jese ~ 0). 대안적으로, 기판(25)은, 부동 접지 표면 전위가 DC 접지보다 약간 높은 것으로 예측되므로, 약 DC 접지에 있을 수도 있다.
화학적 처리 시스템(1)에 대한 이러한 구성에서, 제2 플라즈마 전위의 제1 플라즈마 전위보다 높은 상승은, 에너지의 전자 빔(전자 전류(jee)를 갖는)을 구동시켜 제2 플라즈마(22)를 형성하고, 화학적 처리 시스템(1) 전체를 통한 입자 균형은 기판(25)에 충돌하는 전자들(예컨대, 전자 전류(je2))과 이온들(예컨대, 이온 전류(ji2))이 동일 수를 갖게 한다(즉, │ji2│~│je2│). 이 전하 균형은 기판(25)에서의 화학적 처리를 활성화시키는 기판(25)에 향하는 공간 전하 중성화된 중성 빔으로서 나타난다.
이제 도 2를 참조하여, 실시예에 따라 화학적 처리 시스템(101)이 제공된다. 화학적 처리 시스템(101)은, 제1 플라즈마 전위에서 제1 플라즈마(143)를 생성하도록 구성된 플라즈마 생성 챔버(105)와, 기판(125)의 플라즈마 처리를 위한 오염없는 진공 환경을 제공하도록 구성된 처리 챔버(110)를 포함한다. 처리 챔버(110)는, 기판(125)을 지지하도록 구성된 기판 홀더(120), 및 처리 챔버(110)에 연결되며, 처리 챔버(110)를 배기하고 처리 챔버(110)의 압력을 제어하도록 구성된 진공 펌핑 시스템(130)을 포함한다.
플라즈마 생성 챔버(105)는, 제1 압력에서 제1 처리 가스를 받아 제1 플라즈마(143)를 형성하도록 구성된 제1 플라즈마 영역(142)을 포함한다. 또한, 처리 챔버(110)는, 제1 플라즈마 영역(142)의 하류에 배치되며, 제1 플라즈마 영역(142)으로부터 전자 플럭스(150)와 제1 처리 가스를 받아, 제2 플라즈마 전위 및 제2 압력에서 내부에 제2 플라즈마(153)를 형성하도록 구성된 제2 플라즈마 영역(152)을 포함한다.
제1 가스 주입 시스템(144)은 플라즈마 생성 챔버(105)에 연결되며, 제1 처리 가스를 제1 플라즈마 영역(142)에 도입시키도록 구성되어 있다. 제1 처리 가스는 양전성(electropositive) 가스 또는 음전성(electronegative) 가스 또는 그 혼합물을 포함할 수도 있다. 예컨대, 제1 처리 가스는, 아르곤(Ar)과 같은 희가스(noble gas)를 포함할 수도 있다. 부가적으로, 예컨대, 제1 처리 가스는 기판(125)을 처리하는 데 적합한 임의의 가스를 포함할 수도 있다. 또한, 예컨대, 제1 처리 가스는 기판(125)을 처리하는 데 적합한 화학적 구성 성분, 원자 또는 분자를 갖는 임의의 가스를 포함할 수도 있다. 이들 화학적 구성 성분은 부식제, 막 형성 가스, 희석제, 세정 가스 등을 포함할 수도 있다. 제1 가스 주입 시스템(144)은 하나 이상의 가스 공급 장치들 또는 가스 소스들, 하나 이상의 제어 밸브들, 하나 이상의 필터들, 하나 이상의 질량 유량계(mass flow controller) 등을 포함할 수도 있다.
선택적인 제2 가스 주입 시스템(154)은 처리 챔버(110)에 연결될 수도 있고, 제2 처리 가스를 제2 플라즈마 영역(152)에 도입하도록 구성될 수도 있다. 제2 처리 가스는 기판(125)을 처리하는 데 적합한 임의의 가스를 포함할 수도 있다. 또한, 예컨대, 제2 처리 가스는 기판(125)을 처리하는 데 적합한, 화학적 구성 성분, 원자 또는 분자를 갖는 임의의 가스를 포함할 수도 있다. 이들 화학적 구성 성분은 부식제, 막 형성 가스, 희석제, 세정 가스 등을 포함할 수도 있다. 제2 가스 주입 시스템은 하나 이상의 가스 공급 장치들 또는 가스 소스들, 하나 이상의 제어 밸브들, 하나 이상의 필터들, 하나 이상의 질량 유량계 등을 포함할 수도 있다.
계속 도 2를 참조하여, 화학적 처리 시스템(101)은, 플라즈마 생성 챔버(105)에 연결되며, 제1 플라즈마 영역(142)에서 제1 플라즈마(143)을 생성하도록 구성된 플라즈마 생성 시스템(140)을 포함한다. 플라즈마 생성 시스템(140)은, 용량 결합 플라즈마(CCP), 유도 결합 플라즈마(ICP), 트랜스포머 결합 플라즈마(TCP), 표면파 플라즈마, 헬리콘파 플라즈마, 또는 전자 사이클로트론 공명(ECR) 가열된 플라즈마, 또는 플라즈마 형성 기술의 당업자에 의하여 이해되는 다른 형태의 플라즈마를 생성하도록 구성된 시스템을 포함할 수 있다. 제1 플라즈마가 임의의 플라즈마 소스에 의하여 가열될 수도 있어도, 제1 플라즈마는, 그 플라즈마 전위(Vp,1)에서 최소의 변동을 생성하는 방법에 의하여 가열되는 것이 바람직하다. 예컨대, ICP 소스는, 감소된 또는 최소의 Vp,1 변동을 생성하는 실용적인 기술이다.
도 2에 도시된 바와 같이, 플라즈마 생성 시스템(140)은 전원(146)에 연결된 유도 코일(148)을 포함할 수도 있다. 전원(146)은, RF 전력을 선택적인 임피던스 정합 네트워크를 통하여 유도 코일(148)에 연결시키는 고주파(RF) 생성기를 포함할 수도 있다. RF 전력은 제1 플라즈마 영역(142)에서 유도 코일(148)로부터 유전성 윈도우(108)를 통하여 제1 플라즈마(143)에 유도 결합된다. 유도 코일로의 RF 전력의 인가를 위한 통상적인 주파수는 약 10 MHz 내지 약 100 MHz의 범위일 수 있다. 또한, 유도 코일(148)과 플라즈마 간의 용량 결합을 감소시키기 위하여 슬롯형 페러데이 실드(미도시)가 채용될 수 있다.
임피던스 정합 네트워크는 반사된 전력을 감소시킴으로써 RF 전력의 플라즈마로의 전달을 향상시키도록 기능할 수도 있다. 정합 네트워크 토폴로지(예컨대, L형, π형, T형 등) 및 자동 제어 방법이 당업자에게 공지되어 있다.
예로서, 양전성 방전에서, 전자 밀도는 약 1010cm-3 내지 1013cm-3의 범위일 수도 있고, 전자 온도는 약 1 eV 내지 약 10 eV의 범위일 수도 있다(이용된 플라즈마 소스의 형태에 따름).
또한, 도 2에 도시된 바와 같이, 플라즈마 생성 챔버(105)는, 제1 플라즈마(143)와 접촉하는 경계로서 동작하는 도전면을 갖는 직류(DC) 도전성 접지 전극(106)을 포함한다. DC 도전성 접지 전극(106)은 DC 접지에 연결된다. 예컨대, DC 도전성 접지 전극(106)은 도핑된 실리콘 전극을 포함할 수도 있다. DC 도전성 접지 전극(106)은 제1 플라즈마 전위(Vp,1)에서 제1 플라즈마(143)에 의하여 구동되는 이온 싱크로서 동작한다. 하나의 DC 도전성 접지 전극(106)이 도 2에 도시되어 있지만, 화학적 처리 시스템(101)은 하나 이상의 DC 도전성 접지 전극들을 포함할 수도 있다.
필수적인 것은 아니지만, DC 도전성 접지 전극(106)은 제1 플라즈마(143)와 접촉하는 비교적 넓은 영역을 포함한다. DC 접지에서의 영역이 클 수록, 제1 플라즈마 전위는 낮다. 예컨대, 제1 플라즈마(143)와 접촉하는 DC 도전성 접지 전극(106)을 위한 도전면의 표면적은, 제1 플라즈마(143)와 접촉하는 임의의 다른 표면적보다 클 수도 있다. 또한, 예컨대, 제1 플라즈마(143)와 접촉하는 DC 도전성 접지 전극(106)을 위한 도전면의 표면적은, 제1 플라즈마(143)와 접촉하는 모든 다른 도전면들의 총 합보다 클 수도 있다. 대안적으로, 예로서, 제1 플라즈마(143)와 접촉하는 DC 도전성 접지 전극(106)을 위한 도전면은, 제1 플라즈마(143)와 접촉하는 도전면만일 수도 있다. DC 도전성 접지 전극(106)은 접지에의 최저 임피던스 경로를 제공할 수도 있다.
계속 도 2를 참조하여, 화학적 처리 시스템(101)은 처리 챔버(110)에 연결된 바이어스 전극 시스템(180)을 더 포함한다. 바이어스 전극 시스템(180)은 전자 플럭스를 구동하기 위하여 제2 플라즈마 전위를 제1 플라즈마 전위보다 높은 값으로 상승시키도록 구성된다. 바이어스 전극 시스템(180)은 제2 플라즈마(153)와 접촉하는 도전면을 갖는 DC 도전성 바이어스 전극(182)을 포함한다. DC 도전성 바이어스 전극(182)은 절연체(184)를 통하여 처리 챔버(110)로부터 전기적으로 절연되고, DC 도전성 바이어스 전극(182)은 DC 전압원(186)에 연결된다. 도전성 바이어스 전극(182)은 금속 또는 도핑된 실리콘과 같은, 도전성 재료로 구성된다. 하나의 DC 도전성 바이어스 전극(182)이 도 2에 도시되어도, 화학적 처리 시스템(101)은 하나 이상의 DC 도전성 바이어스 전극들을 포함할 수도 있다.
필수적인 것은 아니지만, DC 도전성 바이어스 전극(182)은 제2 플라즈마(153)와 접촉하는 비교적 넓은 영역을 포함한다. +VDC 에서의 영역이 클 수록, 제2 플라즈마 전위는 +VDC 에 더 가까울 것이다. 예로서, DC 도전성 바이어스 전극(182)의 전체 영역은, 제2 플라즈마(153)와 접촉하는 모든 다른 도전면들의 총 합보다 클 수도 있다. 대안적으로, 예로서, DC 도전성 바이어스 전극(182)의 전체 영역은, 제2 플라즈마(153)와 접촉하는 도전면만일 수도 있다.
DC 전압원(186)은 가변 DC 전원 공급 장치를 포함할 수 있다. 또한, DC 전압원(186)은 바이폴라 DC 전력 공급 장치를 포함할 수 있다. DC 전압원(186)은, DC 전압원(186)의 극성, 전류, 전압 또는 온/오프 상태를 모니터링하고, 조정하고, 또는 제어하는 것 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. RF 전력을 DC 전압원(186)으로부터 연결 해제하기 위하여 전기 필터가 이용될 수도 있다.
예컨대, DC 전압원(186)에 의하여 DC 도전성 바이어스 전극(182)에 인가된 DC 전압은 약 0 볼트(V) 내지 약 10000 V의 범위일 수도 있다. 바람직하게는, DC 전압원(186)에 의하여 DC 도전성 바이어스 전극(182)에 인가된 DC 전압은 약 50 V 내지 약 5000 V의 범위일 수도 있다. 또한, DC 전압은 양의 극성을 갖는 것이 바람직하다. 또한, DC 전압은 약 50 V보다 큰 절대값을 갖는 양의 전압인 것이 바람직하다.
도 2에 도시된 바와 같이, 처리 챔버(110)는 접지에 연결될 수도 있는 챔버 하우징 부재(111)를 포함한다. 또한, 라이너 부재(188)는 챔버 하우징 부재(111) 및 제2 플라즈마(153) 사이에 배치될 수도 있다. 라이너 부재(188)는 석영 또는 알루미나와 같은 유전성 재료로 제조될 수도 있다. 라이너 부재(188)는 제2 플라즈마(153)를 위하여 높은 RF 임피던스를 접지에 제공할 수도 있다. 또한, 전기 피드-스루(feed-through)(187)는 DC 도전성 바이어스 전극(182)에의 전기적 접속을 허용하도록 구성된다.
계속 도 2를 참조하여, 제1 플라즈마 영역(142)과 제2 플라즈마 영역(152) 사이에 분리 부재(170)가 배치되고, 이 분리 부재(170)는, 제2 플라즈마 영역(152)에 제2 플라즈마(153)를 형성하기 위하여, 제1 플라즈마 영역(142)의 제1 플라즈마(143)로부터의 전자 플럭스(150)는 물론, 제1 처리 가스의 제2 플라즈마 영역(152)으로의 통과를 허용하도록 구성된 하나 이상의 개구부들(172)을 포함한다.
분리 부재(170)에서의 하나 이상의 개구부들(172)은 슈퍼-디바이 길이 구경 (즉 횡단 치수 또는 직경이 디바이 길이보다 큰)을 포함할 수도 있다. 하나 이상의 개구부들(172)은 적절한 전자 이송을 허용하는 데 충분히 클 수도 있고, 하나 이상의 개구부들(172)은, 제1 플라즈마 전위와 제2 플라즈마 전위 간의 충분히 높은 전위차를 허용하고, 제2 플라즈마(153)와 제1 플라즈마(143) 간의 임의의 역 이온 전류를 감소시키는 데 충분히 작을 수도 있다. 또한, 하나 이상의 개구부들(172)은, 제1 플라즈마 영역(142)의 제1 압력과 제2 플라즈마 영역(152)의 제2 압력 간의 압력차를 지속시키는 데 충분히 작을 수도 있다.
DC 도전성 접지 전극(106)이 DC 접지에 연결되어도, 이것은 DC 도전성 바이어스 전극(182)에 연결된 바이어스 DC 전압보다 작은 DC 전압에 연결될 수도 있다.
도 2에 도시된 바와 같이, 전자 플럭스(150)는 분리 부재(170)를 통하여 제1 플라즈마 영역(142)과 제2 플라즈마 영역(152) 사이에 일어난다. 전자 이송은 전계 강화된 확산에 의하여 구동되며, 이 전계는 제1 플라즈마 전위 및 제2 플라즈마 전위 사이의 전위차에 의하여 형성된다. 전자 플럭스(150)는 제2 플라즈마(153)에서 이온화를 지속하는 데 충분한 에너지를 갖는다.
진공 펌핑 시스템(130)은, 예컨대, 5000 초당리터(liters per second)(및 그 이상)까지 펌핑 속도가 가능한 터보 분자 진공 펌프(TMP) 및 제2 플라즈마 영역(152)에서의 압력을 제어하기 위하여, 게이트 밸브와 같은, 진공 밸브(또는 제2 진공 밸브)를 포함할 수도 있다. 또한, 챔버압을 모니터링하기 위한 장치(미도시)는 처리 챔버(110)에 연결될 수 있다. 압력 측정 장치는, 예컨대 MKS Instruments, Inc.(미국 메사츄세츠주 앤도버)로부터 시판되는 Type 628B 바라트론 절대 용량 압력계(Baratron absolute capacitance manometer)일 수도 있다.
계속 도 2를 참조하여, 기판 홀더(120)는 접지에 연결될 수 있다. 기판 홀더(120)가 접지에 연결되면, 기판(125)은 부동 접지일 수도 있고, 따라서 제2 플라즈마(153)가 접촉하는 접지만이 기판(125)에 의하여 제공되는 부동 접지이다. 예컨대, 기판(125)이 기판 홀더(120)에 클램프될 때, 세라믹 정전 클램프(ESC)층이 접지된 기판 홀더(120)로부터 기판(125)을 절연시킬 수도 있다.
대안적으로, 화학적 처리 시스템(101)은 기판 홀더(120)에 연결되고, 기판(125)을 전기적으로 바이어스하도록 구성된 기판 바이어스 시스템을 포함할 수도 있다. 예컨대, 기판 홀더(120)는 선택적인 임피던스 정합 네트워크를 통하여 RF 발생기에 연결된 전극을 포함할 수도 있다. 기판 홀더(120)에의 전력의 인가를 위한 통상적인 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수도 있다.
계속 도 2를 참조하여, 화학적 처리 시스템(101)은, 기판 홀더(120)에 연결되며, 기판(125)의 온도를 조정하여 제어하도록 구성된 기판 온도 제어 시스템을 포함할 수도 있다. 기판 온도 제어 시스템은, 기판 홀더(120)로부터 열을 받고, 열을 열 교환 시스템(미도시)에 전달하고, 또는 가열시 열 교환 시스템으로부터 열을 전달하는 재순환 냉각수 흐름을 포함하는 냉각 시스템과 같은 온도 제어 소자들을 포함한다. 또한, 온도 제어 소자들은, 처리 챔버(110)의 챔버 벽은 물론, 기판 홀더(120) 내에 포함될 수 있는 저항성 가열 소자들, 또는 열전기 히터/쿨러와 같은 가열/냉각 소자들, 및 화학적 처리 시스템(101) 내의 임의의 다른 구성 요소를 포함할 수 있다.
기판(125)과 기판 홀더(120) 간의 열 전달을 향상시키기 위하여, 기판 홀더(120)는, 기판(125)을 기판 홀더(120)의 상부면에 부착하기 위하여, 정전 클램핑(ESC) 시스템과 같은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템을 포함할 수 있다. 또한, 기판 홀더(120)는, 기판(125)과 기판 홀더(120) 간의 가스 갭 열 전도성을 향상시키기 위하여 기판(125)의 후면에 가스를 도입하도록 구성된 기판 후면 가스 전달 시스템을 더 포함할 수 있다. 이러한 시스템은, 기판의 온도 제어가 상승되거나 감소된 온도에서 요구될 때 이용될 수 있다. 예컨대, 기판 후면 가스 시스템은, 기판(125)의 중심과 가장자리 상에서 헬륨 가스 갭 압력이 독립적으로 변할 수 있는 투 존(two-zone) 가스 분배 시스템을 포함할 수 있다.
도 2에 도시된 바와 같이, 기판 홀더(120)는 기판 홀더(120)의 주변 가장자리 위로 연장하는 배플(baffle) 부재(121)에 의하여 둘러싸일 수도 있다. 배플 부재(121)는 진공 펌핑 시스템(130)에 의하여 전달된 펌핑 속도를 제2 플라즈마 영역(152)에 균일하게 분배하도록 기능할 수도 있다. 배플 부재(121)는 석영 또는 알루미나와 같은 유전성 재료로 제조될 수도 있다. 배플 부재(121)는 제2 플라즈마(153)를 위하여 고 RF 임피던스를 접지에 제공할 수도 있다.
도 2를 계속 참조하여, 화학적 처리 시스템(101)은 제어기(190)를 더 포함할 수 있다. 제어기(190)는 마이크로프로세서, 메모리, 및 화학적 처리 시스템(101)으로부터의 출력을 모니터링하는 것은 물론, 화학적 처리 시스템(101)으로의 입력과 통신하고 이 입력을 활성화시키는 데 충분한 제어 신호를 생성시킬 수 있는 디지털 I/O 포트를 포함한다. 또한, 제어기(190)는, 제1 가스 주입 시스템(144)과 전원(146)을 포함하는 플라즈마 생성 시스템(140), 선택적인 제2 가스 주입 시스템(154) 및 DC 전압원(186)을 포함하는 전극 바이어스 시스템(180), 기판 홀더(120), 및 진공 펌핑 시스템(130)에 연결되어, 이들과의 정보를 교환할 수 있다. 예컨대, 메모리 내에 기억된 프로그램은, 기판(125)을 처리하는 방법을 수행하기 위하여 처리 레시피에 따라 화학적 처리 시스템(101)의 상술된 구성 요소들에의 입력을 활성화하도록 이용될 수 있다.
그러나, 제어기(190)는, 메모리에 포함된 하나 이상의 명령들의 하나 이상의 시퀀스들을 실행하는 프로세서에 응답하여, 본 발명의 마이크로프로세서 기반의 처리 단계들의 일부 또는 전부를 수행하는 범용 컴퓨터 시스템으로서 실행될 수도 있다. 이러한 명령들은, 하드 디스크 또는 착탈 가능한 매체 드라이브와 같은, 다른 컴퓨터 판독 가능한 매체로부터 제어기 메모리로 판독될 수도 있다. 복수의 처리 배치에서의 하나 이상의 프로세서들은 또한, 주 메모리에 포함된 명령들의 시퀀스를 실행하기 위하여 제어기 마이크로프로세서로서 채용될 수도 있다. 대안적인 실시예들에서, 소프트웨어 명령어 대신 또는 이와 조합하여 하드 와이어드 회로소자(hard-wired circuitry)가 사용될 수도 있다. 따라서, 실시예들은 하드웨어 회로소자와 소프트웨어의 임의의 특정 조합에 제한되지 않는다.
제어기(190)는, 본 발명의 교시에 따라 프로그램된 명령들을 유지하고, 또한 데이터 구조, 테이블, 기록 또는 본 발명을 실행하는 데 필수적일 수도 있는 다른 데이터를 포함하기 위한, 제어기 메모리와 같은 적어도 하나의 컴퓨터 판독 가능한 매체 또는 메모리를 포함한다.
여기서 사용된 바와 같은 "컴퓨터 판독 가능한 매체"라는 용어는 실행을 위하여 제어기(190)의 프로세서에 명령들을 제공하는 데 참가하는 임의의 매체에 관한 것이다. 컴퓨터 판독 가능한 매체는, 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하는(그러나, 이들에 제한되지 않음) 많은 형태들을 취할 수도 있다. 비휘발성 매체는, 예컨대, 하드 디스크 또는 착탈 가능한 매체 드라이브와 같은 광학적, 자기적 디스크 및 광자기(magneto-optical) 디스크를 포함한다. 휘발성 매체는, 주 메모리와 같은 동적 메모리를 포함한다. 또한, 다양한 형태의 컴퓨터 판독 가능한 매체는 실행을 위하여 제어기의 프로세서에 하나 이상의 명령들의 하나 이상의 시퀀스들을 수행하는 데 개입될 수도 있다. 예컨대, 명령들은 원격 컴퓨터의 자기 디스크 상에 처음에 전달될 수도 있다. 원격 컴퓨터는 본 발명의 전부 또는 일부를 원격으로 실행하기 위한 명령들을 동적 메모리에 로딩할 수 있고, 이 명령들을 네트워크를 통하여 제어기(190)에 보낼 수 있다.
본 발명은, 컴퓨터 판독 가능한 매체의 임의의 하나 또는 그 조합에 저장되어, 본 발명을 실행하기 위한 장치 또는 장치들을 구동하기 위하여 및/또는 제어기가 사용자와 상호 작용할 수 있게 하기 위하여, 제어기(190)를 제어하기 위한 소프트웨어를 포함한다. 이러한 소프트웨어는 장치 드라이버들, 운영 체제들, 개발 도구들, 및 애플리케이션 소프트웨어를 포함할 수도 있으나, 이들에 제한되는 것은 아니다. 이러한 컴퓨터 판독 가능한 매체는 발명을 실행할 때 수행되는 처리의 전부 또는 일부(처리가 분배되어 있으면)를 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
컴퓨터 코드 장치는, 스크립들, 해석 가능한 프로그램들, 동적 연결 라이브러리(dynamic link library, DLL)들, 자바 클래스들, 및 완전 실행 가능한 프로그램(complete executable program)들을 포함하는(그러나, 이들에 제한되지 않는) 임의의 해석 가능한 또는 실행 가능한 코드 메카니즘일 수도 있다. 또한, 처리의 일부는 보다 양호한 성능, 신뢰성 및/또는 비용을 위하여 분배될 수도 있다.
제어기(190)는 화학적 처리 시스템(101)에 관하여 국부적으로 위치될 수도 있고, 또는 화학적 처리 시스템(101)에 관하여 인터넷 또는 인트라넷을 통하여 원격으로 위치될 수도 있다. 따라서, 제어기(190)는, 직접 접속, 인트라넷 또는 인터넷 중 적어도 하나를 사용하여 화학적 처리 시스템(10)과 데이터를 교환할 수 있다. 제어기(190)는 고객측(즉, 장치 제조자 등)에서 인트라넷에 연결될 수도 있고, 또는 판매자측(즉, 기기 제조업체)에서 인트라넷에 연결될 수도 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가 직접 접속, 인트라넷, 또는 인터넷 중 적어도 하나를 통하여 데이터를 교환하기 위하여 제어기(190)에 액세스할 수 있다.
이제 도 3을 참조하여, 다른 실시예에 따라 화학적 처리 시스템(101')이 제공된다. 화학적 처리 시스템(101')은 도 2에 도시된 화학적 처리 시스템(101)에서와 유사한 구성 요소들을 포함한다. 그러나, 화학적 처리 시스템(101')은 플라즈마 생성 챔버(105) 위에 위치된 유도 코일(148')을 갖는 플라즈마 생성 시스템(140')을 포함한다. 유도 코일(148')은 트랜스포머 연결 플라즈마(TCP)에서와 같이 위로부터의 플라즈마와 연통하여, "나선" 코일 또는 "팬케이크(pancake)" 코일과 같은, 평면 코일일 수도 있다. RF 전력은 제1 플라즈마 영역(142)에서 유도 코일(148')로부터 유전성 윈도우(108')를 통하여 제1 플라즈마(143)에 유도 결합된다. ICP 소스, 또는 TCP 소스의 설계 및 실행은 당업자에게 공지되어 있다.
또한, 도 3에 도시된 바와 같이, 플라즈마 생성 챔버(105)는, 제1 플라즈마 챔버(143)와 접촉하여 경계로서 동작하는 도전면을 갖는 직류(DC) 도전성 접지 전극(106')을 포함한다. 적어도 하나의 DC 도전성 접지 전극(106')이 DC 접지에 연결된다.
이제 도 4를 참조하여, 다른 실시예에 따라 화학적 처리 시스템(101")이 제공된다. 화학적 처리 시스템(101")은 도 2에 도시된 화학적 처리 시스템(101)에서와 유사한 구성 요소들을 포함한다. 그러나, 화학적 처리 시스템(101")은, 플라즈마 생성 챔버(105)의 제1 플라즈마 영역(142) 내에 위치된 유도 코일(148")을 갖는 플라즈마 생성 시스템(140")을 포함하고, 유도 코일(148")은 원통형 유전성 윈도우 삽입부(108")에 의하여 제1 플라즈마(143)로부터 분리된다. 유도 코일(148")은, 전원(146)에 연결된, 나선형 코일과 같은 원통형 코일일 수도 있다. RF 전력은 유도 코일(148")로부터 원통형 유전성 윈도우 삽입부(108")를 통하여 제1 플라즈마 영역(142)의 제1 플라즈마(143)에 유도 결합될 수도 있다. ICP 소스의 설계 및 실행은 당업자에게 공지되어 있다.
또한, 도 4에 도시된 바와 같이, 플라즈마 생성 챔버(105)는 제1 플라즈마(143)에 접촉하는 경계로서 동작하는 도전면을 갖는 직류(DC) 도전성 접지 전극(106")을 포함한다. DC 도전성 접지 전극(106")은 DC 접지에 연결된다. 도 4에 도시된 바와 같이, 유도 코일(148")이 제1 플라즈마(143) 내에 담겨져 있기 때문에, DC 도전성 접지 전극(106")은 플라즈마 생성 챔버(105)의 내부 표면의 상당 부분을 차지하는 표면적을 포함한다.
이제 도 5를 참조하여, 본 발명의 실시예에 따라 기판을 처리하기 위하여 플라즈마 처리 시스템을 동작하는 방법의 흐름도(400)가 제공된다. 흐름도(400)는 410에서 플라즈마를 사용하여 기판의 처리를 용이하게 하도록 구성된 플라즈마 처리 시스템에 기판을 배치하는 단계로 시작한다. 플라즈마 처리 챔버는 도 1a, 1b, 2, 3 및 4에 설명된 플라즈마 처리 시스템들 중 임의의 하나의 구성 요소들을 포함할 수도 있다.
420에서, 제1 플라즈마 전위에서 제1 플라즈마 영역에서의 제1 처리 가스로부터 제1 플라즈마가 형성된다. 도 1a, 1b, 2, 3 및 4에 도시된 바와 같이, 제1 플라즈마 영역은 플라즈마 생성 챔버에 위치될 수도 있고, 플라즈마 생성 시스템은 제1 플라즈마를 형성하기 위하여 플라즈마 생성 챔버에 연결될 수도 있다.
430에서, 제1 플라즈마로부터의 전자 플럭스를 사용하여 제2 플라즈마 전위에서 제2 플라즈마 영역에서 제2 플라즈마가 형성된다. 제1 플라즈마 영역의 제1 플라즈마로부터의 전자 플럭스는 플라즈마 생성 챔버로부터 분리 부재를 통하여 기판이 처리되는 처리 챔버를 통과한다. 도 1a, 1b, 2, 3 및 4에 도시된 바와 같이, 제2 플라즈마 영역은 처리 챔버에 위치될 수도 있고, 이 처리 챔버에서 플라즈마 생성 챔버와 처리 챔버 사이에 배치된 분리 부재에서의 하나 이상의 개구부들 또는 통로들은, 제1 플라즈마 영역에서 제2 플라즈마 영역으로의 전자의 이송 또는 공급을 용이하게 한다.
440에서, 전자 플럭스를 제어하기 위하여 제2 플라즈마 전위가 제1 플라즈마 전위보다 높게 상승된다. 제1 플라즈마 영역의 제1 플라즈마는, 제1 플라즈마에 접촉하는 경계의 일부 또는 전부가 DC 접지에 연결되는 경계 구동 플라즈마(즉, 플라즈마 경계가 각 플라즈마 전위에 상당한 영향을 미친다)일 수도 있다. 또한, 제2 플라즈마 영역의 제2 플라즈마는, 제2 플라즈마에 접촉하는 경계의 일부 또는 전부가 +VDC에서 DC 전압원에 연결되는 경계 구동 플라즈마일 수도 있다. 제1 플라즈마 전위보다 위로의 제2 플라즈마 전위의 상승은, 도 1a, 1b, 2, 3 및 4에서 제공된 실시예들 중 임의의 하나 또는 그 조합을 사용하여 수행될 수도 있다.
450에서, 처리 챔버에 들어가는 가스는, 처리 챔버의 압력을 제어하기 위하여 진공 펌핑 시스템에 의하여 펌핑된다. 460에서, 기판은 제2 플라즈마 영역의 제2 플라즈마에 노출된다. 제2 플라즈마로의 기판의 노출은, 기판을 단일 에너지의 공간 전하 중성화된 중성 빔 활성화된 화학적 처리에 노출시키는 단계를 포함할 수도 있다.
본 발명의 특정 실시예만을 상기에 상세히 설명하였으나, 본 발명의 신규한 교시 및 이점으로부터 실질적으로 벗어나지 않고 실시예들에 많은 변형이 가능하다는 것을 당업자는 용이하게 이해할 것이다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되고자 한다.

Claims (23)

  1. 기판을 처리하도록 구성된 화학적 처리 시스템으로서,
    제1 처리 가스를 제1 압력에서 받도록 구성된 제1 플라즈마 영역을 포함하는 플라즈마 생성 챔버;
    상기 제1 플라즈마 영역의 하류에 배치되며, 상기 제1 플라즈마 영역으로부터 상기 제1 처리 가스를 제2 압력에서 받도록 구성된 제2 플라즈마 영역을 포함하는 처리 챔버;
    상기 플라즈마 생성 챔버에 연결되며, 상기 제1 처리 가스를 상기 제1 플라즈마 영역에 도입하도록 구성된 제1 가스 주입 시스템;
    상기 플라즈마 생성 챔버에 연결되며, 상기 제1 처리 가스로부터 상기 제1 플라즈마 영역에서 제1 플라즈마 전위의 제1 플라즈마를 생성하도록 구성된 플라즈마 생성 시스템;
    상기 제1 플라즈마 영역과 상기 제2 플라즈마 영역 사이에 배치되며, 제2 플라즈마 전위에서 제2 플라즈마를 형성하기 위하여 상기 제1 플라즈마 영역으로부터 상기 제2 플라즈마 영역으로의 전자 플럭스(electron flux)를 허용하도록 구성된 하나 이상의 개구부들을 포함하는 분리 부재;
    상기 처리 챔버에 연결되며, 상기 전자 플럭스를 제어하기 위하여 상기 제2 플라즈마 전위를 상기 제1 플라즈마 전위보다 높게 상승시키도록 구성된 바이어스 전극 시스템;
    상기 처리 챔버에 연결되며, 상기 제2 플라즈마 영역 근방에 상기 기판을 지지하도록 구성된 기판 홀더; 및
    상기 처리 챔버에 연결되며, 상기 처리 챔버에서의 상기 제2 플라즈마 영역을 펌핑하도록 구성된 진공 펌핑 시스템
    을 포함하는 화학적 처리 시스템.
  2. 제 1 항에 있어서, 상기 처리 챔버에 연결되며, 상기 제2 플라즈마 영역에 제2 처리 가스를 도입하도록 구성된 제2 가스 주입 시스템을 더 포함하는 화학적 처리 시스템.
  3. 제 1 항에 있어서, 상기 플라즈마 생성 시스템은, 전원으로부터 상기 제1 플라즈마 영역의 상기 제1 처리 가스로 전력을 유도 결합하도록 구성된 유도 코일을 포함하는 것인 화학적 처리 시스템.
  4. 제 1 항에 있어서, 상기 플라즈마 생성 시스템은, 용량 결합 플라즈마(capacitively coupled plasma, CCP) 소스, 유도 결합 플라즈마(inductively coupled plasma, ICP) 소스, 트랜스포머 결합 플라즈마(transformer coupled plasma, TCP) 소스, 표면파 플라즈마 소스, 헬리콘파 플라즈마 소스, 또는 전자 사이클로트론 공명(electron cyclotron resonance, ECR) 플라즈마 소스, 또는 그 2 이상의 조합을 포함하는 것인 화학적 처리 시스템.
  5. 제 1 항에 있어서, 상기 플라즈마 생성 챔버는 상기 제1 플라즈마와 접촉하는 도전면을 갖는 하나 이상의 직류(DC) 도전성 접지 전극을 포함하며, 상기 하나 이상의 DC 도전성 접지 전극은 DC 접지에 연결되는 것인 화학적 처리 시스템.
  6. 제 5 항에 있어서, 상기 하나 이상의 DC 도전성 접지 전극은 도핑된 실리콘 전극을 포함하는 것인 화학적 처리 시스템.
  7. 제 5 항에 있어서, 상기 하나 이상의 DC 도전성 접지 전극의 상기 도전면은, 상기 제1 플라즈마와 접촉하는 임의의 다른 표면적보다 큰, 상기 제1 플라즈마와 접촉하는 표면적을 포함하는 것인 화학적 처리 시스템.
  8. 제 1 항에 있어서, 상기 분리 부재는 유전성 재료로 구성되는 것인 화학적 처리 시스템.
  9. 제 1 항에 있어서, 상기 분리 부재에서의 상기 하나 이상의 개구부들 중 하나 이상은 디바이(Debye) 길이 이상인 직경을 포함하는 것인 화학적 처리 시스템.
  10. 제 1 항에 있어서, 상기 바이어스 전극 시스템은 상기 제2 플라즈마와 접촉하는 도전면을 갖는 하나 이상의 DC 도전성 바이어스 전극을 포함하며, 상기 하나 이상의 DC 도전성 바이어스 전극은 DC 전압원에 연결되는 것인 화학적 처리 시스템.
  11. 제 10 항에 있어서, 상기 하나 이상의 DC 도전성 바이어스 전극은 도핑된 실리콘 전극을 포함하는 것인 화학적 처리 시스템.
  12. 제 10 항에 있어서, 상기 DC 전압원은, 50 V 내지 5000 V의 범위의 DC 전압으로 상기 하나 이상의 DC 도전성 바이어스 전극을 바이어스하도록 구성된 것인 화학적 처리 시스템.
  13. 제 10 항에 있어서, 상기 처리 챔버는,
    DC 도전성 재료로 제조되며, DC 접지에 연결되는 챔버 하우징 부재;
    유전성 재료로 제조되며, 상기 챔버 하우징 부재를 상기 제2 플라즈마로부터 전기적으로 절연시키도록 구성된, 상기 챔버 하우징 부재에 연결된 라이너(liner) 부재;
    상기 하나 이상의 DC 도전성 바이어스 전극에의 전기적 접속을 허용하도록 구성된 전기적 피드-스루(feed-through); 및
    상기 하나 이상의 DC 도전성 바이어스 전극과 상기 챔버 하우징 부재 사이에 배치되며, 상기 하나 이상의 DC 도전성 바이어스 전극을 상기 챔버 하우징 부재로부터 전기적으로 절연시키도록 구성된 전극 절연체
    를 포함하는 것인 화학적 처리 시스템.
  14. 제 1 항에 있어서, 상기 기판 홀더는 DC 접지에 연결되며, 상기 기판은 DC 접지 또는 부동(floating) 접지에 있는 것인 화학적 처리 시스템.
  15. 제 1 항에 있어서, 상기 플라즈마 생성 시스템, 상기 바이어스 전극 시스템, 상기 처리 챔버, 상기 제1 가스 주입 시스템, 상기 기판 홀더, 및 상기 진공 펌핑 시스템에 연결되며, 상기 제1 플라즈마 영역의 상기 제1 처리 가스에 상기 플라즈마 생성 시스템에 의하여 연결된 전력, 상기 바이어스 전극 시스템에 의하여 상기 제2 플라즈마에 연결된 DC 전압, 상기 플라즈마 생성 챔버에 연결된 상기 제1 처리 가스의 조성, 상기 플라즈마 생성 챔버에 연결된 상기 제1 처리 가스의 유속, 상기 처리 챔버에 연결된 펌핑 속도, 또는 상기 기판의 온도, 또는 그 2 이상의 조합 중 하나 이상을 변화시킴으로써, 상기 제2 플라즈마를 조정하거나 제어하도록 구성되는 제어기를 더 포함하는 화학적 처리 시스템.
  16. 기판을 처리하도록 구성된 화학적 처리 시스템으로서,
    제1 플라즈마 전위에서 제1 플라즈마를 형성하기 위한 제1 플라즈마 챔버;
    상기 제1 플라즈마 전위보다 큰 제2 플라즈마 전위에서, 상기 제1 플라즈마로부터의 전자 플럭스를 이용하여 형성되는 제2 플라즈마를 형성하기 위한 제2 플라즈마 챔버; 및
    상기 제2 플라즈마 챔버에 기판을 위치 결정시키도록 구성된 기판 홀더
    를 포함하는 화학적 처리 시스템.
  17. 제 16 항에 있어서, 상기 제1 플라즈마는 DC 접지 전압에서 제1 경계에 의하여 구동되고, 상기 제2 플라즈마는 DC 바이어스 전압에서 제2 경계에 의하여 구동되는 것인 화학적 처리 시스템.
  18. 제 16 항에 있어서, 상기 기판 홀더는 DC 접지에 연결되며, 상기 기판은 DC 접지 또는 부동 접지에 있는 것인 화학적 처리 시스템.
  19. 제 16 항에 있어서, 상기 제1 플라즈마 챔버는 상기 제1 플라즈마와 접촉하는 도전면을 갖는 하나 이상의 DC 도전성 접지 전극을 포함하고, 상기 하나 이상의 DC 도전성 접지 전극은 DC 접지에 연결되는 것인 화학적 처리 시스템.
  20. 제 16 항에 있어서, 상기 제1 플라즈마 챔버와 상기 제2 플라즈마 챔버 사이에 배치되며, 상기 제1 플라즈마로부터 상기 제2 플라즈마로의 상기 전자 플럭스를 허용하도록 구성된 하나 이상의 개구부들을 포함하고, 유전성 재료로 구성되는 분리 부재를 더 포함하는 화학적 처리 시스템.
  21. 제 16 항에 있어서, 상기 제2 플라즈마 챔버는 상기 제2 플라즈마와 접촉하는 도전면을 갖는 하나 이상의 DC 도전성 바이어스 전극을 포함하며, 상기 하나 이상의 DC 도전성 바이어스 전극은 DC 전압원에 연결되는 것인 화학적 처리 시스템.
  22. 기판을 처리하는 방법으로서,
    상기 기판을 플라즈마로 처리하도록 구성된 처리 챔버에 상기 기판을 배치하는 단계;
    제1 플라즈마 전위에서 제1 플라즈마 영역에서 제1 플라즈마를 형성하는 단계;
    상기 제1 플라즈마 영역으로부터의 전자 플럭스를 사용하여 제2 플라즈마 영역에서 제2 플라즈마 전위의 제2 플라즈마를 형성하는 단계;
    상기 전자 플럭스를 제어하기 위하여 상기 제2 플라즈마 전위를 상기 제1 플라즈마 전위보다 높게 상승시키는 단계;
    상기 처리 챔버의 압력을 제어하는 단계; 및
    상기 기판을 상기 제2 플라즈마에 노출시키는 단계
    를 포함하는 기판 처리 방법.
  23. 제 22 항에 있어서, 상기 기판을 상기 제2 플라즈마에 노출시키는 단계는, 상기 기판을 단일 에너지의 공간 전하(space-charge) 중성화된 중성 빔 활성화된 화학적 처리에 노출시키는 단계를 포함하는 것인 기판 처리 방법.
KR1020107023351A 2008-03-21 2009-03-20 단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법 KR101592613B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/053,008 2008-03-21
US12/053,008 US9520275B2 (en) 2008-03-21 2008-03-21 Mono-energetic neutral beam activated chemical processing system and method of using

Publications (2)

Publication Number Publication Date
KR20100126528A KR20100126528A (ko) 2010-12-01
KR101592613B1 true KR101592613B1 (ko) 2016-02-05

Family

ID=41087845

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107023351A KR101592613B1 (ko) 2008-03-21 2009-03-20 단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법

Country Status (6)

Country Link
US (2) US9520275B2 (ko)
JP (1) JP5678351B2 (ko)
KR (1) KR101592613B1 (ko)
CN (1) CN101999155B (ko)
TW (1) TWI430716B (ko)
WO (1) WO2009117624A2 (ko)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE532203T1 (de) * 2004-08-27 2011-11-15 Fei Co Lokalisierte plasmabehandlung
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
DE102010039365B4 (de) * 2010-08-16 2016-03-24 Forschungsverbund Berlin E.V. Plasma-Prozesse bei Atmosphärendruck
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
SG10201602785TA (en) * 2011-04-11 2016-05-30 Lam Res Corp E-beam enhanced decoupled source for semiconductor processing
EP2771907B1 (en) * 2011-10-26 2016-08-31 Exogenesis Corporation Diagnostic method and apparatus for characterization of a neutral beam and for process control therewith
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140273538A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Non-ambipolar electric pressure plasma uniformity control
US9978568B2 (en) 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
JP2015050362A (ja) * 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9697993B2 (en) * 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
JP6247087B2 (ja) * 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20160013020A1 (en) * 2014-07-14 2016-01-14 Lam Research Corporation Systems and methods for producing energetic neutrals
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9288890B1 (en) * 2014-10-31 2016-03-15 Tokyo Electron Limited Method and apparatus for providing an anisotropic and mono-energetic neutral beam by non-ambipolar electron plasma
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10354841B2 (en) * 2015-04-07 2019-07-16 Tokyo Electron Limited Plasma generation and control using a DC ring
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6715129B2 (ja) * 2016-08-31 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6446418B2 (ja) * 2016-09-13 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10431429B2 (en) * 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018187494A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gas phase particle reduction in pecvd chamber
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
CN108987228B (zh) * 2017-06-02 2024-05-17 北京北方华创微电子装备有限公司 用于处理工件的等离子体反应装置
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR20200072557A (ko) * 2017-12-27 2020-06-22 매슨 테크놀로지 인크 플라즈마 처리 장치 및 방법
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
WO2020059174A1 (ja) * 2018-09-20 2020-03-26 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11205562B2 (en) 2018-10-25 2021-12-21 Tokyo Electron Limited Hybrid electron beam and RF plasma system for controlled content of radicals and ions
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
GB201904587D0 (en) * 2019-04-02 2019-05-15 Oxford Instruments Nanotechnology Tools Ltd Surface processing apparatus
US11152194B2 (en) 2019-05-14 2021-10-19 Tokyo Electron Limited Plasma processing apparatuses having a dielectric injector
US11393662B2 (en) 2019-05-14 2022-07-19 Tokyo Electron Limited Apparatuses and methods for plasma processing
US20220108874A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low current high ion energy plasma control system

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005514762A (ja) * 2001-12-20 2005-05-19 東京エレクトロン株式会社 加工物をプラズマ処理するための磁気フィルタを備える方法および装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496448A (en) * 1983-10-13 1985-01-29 At&T Bell Laboratories Method for fabricating devices with DC bias-controlled reactive ion etching
US6113701A (en) * 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
JP3280406B2 (ja) * 1991-11-22 2002-05-13 アネルバ株式会社 プラズマ処理装置
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07221068A (ja) * 1994-01-31 1995-08-18 Sony Corp プラズマ装置およびこれを用いたドライエッチング方法
JP2942138B2 (ja) * 1994-03-22 1999-08-30 三菱電機株式会社 プラズマ処理装置及びプラズマ処理方法
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3561080B2 (ja) * 1996-04-23 2004-09-02 松下電器産業株式会社 プラズマ処理装置及びプラズマ処理方法
JPH10270430A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
KR100428813B1 (ko) * 2001-09-18 2004-04-29 주성엔지니어링(주) 플라즈마 발생장치 및 이를 이용한 SiO₂박막 식각방법
US6896775B2 (en) * 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN1983518B (zh) * 2004-06-21 2011-06-08 东京毅力科创株式会社 等离子体处理装置和方法
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
US7922880B1 (en) * 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005514762A (ja) * 2001-12-20 2005-05-19 東京エレクトロン株式会社 加工物をプラズマ処理するための磁気フィルタを備える方法および装置

Also Published As

Publication number Publication date
JP2011518408A (ja) 2011-06-23
TWI430716B (zh) 2014-03-11
US20170062188A1 (en) 2017-03-02
US9520275B2 (en) 2016-12-13
CN101999155B (zh) 2014-12-03
JP5678351B2 (ja) 2015-03-04
CN101999155A (zh) 2011-03-30
US10734200B2 (en) 2020-08-04
KR20100126528A (ko) 2010-12-01
US20090236314A1 (en) 2009-09-24
WO2009117624A3 (en) 2010-01-07
WO2009117624A2 (en) 2009-09-24
TW201004491A (en) 2010-01-16

Similar Documents

Publication Publication Date Title
KR101592613B1 (ko) 단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법
US8083961B2 (en) Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US7732759B2 (en) Multi-plasma neutral beam source and method of operating
JP5219479B2 (ja) 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
US7358484B2 (en) Hyperthermal neutral beam source and method of operating
TWI541853B (zh) 具有電漿鞘電位之基板的非雙極電子電漿(nep)處理用處理系統
US20090095714A1 (en) Method and system for low pressure plasma processing
US7772544B2 (en) Neutral beam source and method for plasma heating
US7875555B2 (en) Method for plasma processing over wide pressure range
JPH11260596A (ja) プラズマ処理装置及びプラズマ処理方法
JP2003077904A (ja) プラズマ処理装置及びプラズマ処理方法
JPS61177728A (ja) 低エネルギイオン化粒子照射装置
Wickramanayaka et al. Magnetically Enhanced Dual Frequency Capacitively Coupled Plasma Source for Large-area Wafer Processing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190117

Year of fee payment: 4