CN101622692A - 等离子体处理装置的清洗方法、执行该清洗方法的等离子体处理装置以及储存执行该清洗方法的程序的存储介质 - Google Patents

等离子体处理装置的清洗方法、执行该清洗方法的等离子体处理装置以及储存执行该清洗方法的程序的存储介质 Download PDF

Info

Publication number
CN101622692A
CN101622692A CN200880006209A CN200880006209A CN101622692A CN 101622692 A CN101622692 A CN 101622692A CN 200880006209 A CN200880006209 A CN 200880006209A CN 200880006209 A CN200880006209 A CN 200880006209A CN 101622692 A CN101622692 A CN 101622692A
Authority
CN
China
Prior art keywords
plasma
container handling
matting
processing apparatus
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880006209A
Other languages
English (en)
Other versions
CN101622692B (zh
Inventor
吹上纪明
河本慎二
高场裕之
石桥清隆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101622692A publication Critical patent/CN101622692A/zh
Application granted granted Critical
Publication of CN101622692B publication Critical patent/CN101622692B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Cleaning In General (AREA)

Abstract

本发明提供一种等离子体处理装置的清洗方法,在能够抽真空的处理容器(32)内对被处理体(W)使用等离子体实施等离子体处理,具有:第一清洗工序,在向处理容器内供给清洗气体的同时,产生等离子体,将处理容器内维持为第一压力而进行清洗;第二清洗工序,在向处理容器内供给清洗气体的同时,产生等离子体,将处理容器内维持为比第一压力高的第二压力而进行清洗。这样,就可以对处理容器的内壁面或处理容器内的构件不造成损伤地、有效并且迅速地进行清洗。

Description

等离子体处理装置的清洗方法、执行该清洗方法的等离子体处理装置以及储存执行该清洗方法的程序的存储介质
技术领域
本发明涉及在对半导体晶片等作用通过微波或高频产生的等离子体而实施处理之时使用的等离子体处理装置及其清洗方法。
背景技术
近年来,伴随着半导体产品的高密度化及高精细化,在半导体产品的制造工序中,为了进行成膜、蚀刻、灰化等处理,多使用等离子体处理装置,特别是,由于即使是在0.1mTorr(13.3mPa)-数十mTorr(数Pa)左右的比较低的压力的高真空状态下也可以稳定地产生等离子体,所以存在使用利用微波或高频来产生高密度等离子体的等离子体处理装置的倾向。
此种等离子体处理装置公布于日本特开平3-191073号公报、日本特开平5-343334号公报、日本特开平9-181052号公报、日本特开2000-3908号公报及日本特开2003-332326号公报中。这里,参照图5对例如使用了微波的普通的等离子体处理装置进行概略性说明。图5是表示使用了微波的以往的普通的等离子体处理装置的概略构成图。
图5中,该等离子体处理装置2在能够抽真空的处理容器4内设有放置半导体晶片W的载置台6,在与该载置台6对置的顶部气密性地设有透过微波的圆板状的由氮化铝或石英等制成的顶板8。此外,在处理容器4的侧壁上,设有用于向容器内导入规定的气体的喷头9。
此外,在上述顶板8的上面设有厚数mm左右的圆板状的平面天线构件10、用于缩短该平面天线构件10的半径方向的微波的波长的例如由电介质制成的滞波材料12。此外,在平面天线构件10上形成有多条例如由长槽状的贯穿孔构成的缝隙14。该缝隙14一般来说被配置成同心圆状,或配置成螺旋状。此外,在平面天线构件10的中心部连接同轴波导管16的中心导体18,将由微波发生器20产生的例如2.45GHz的微波用模式转换器22转换为规定的振动模式后导入。
此外,在将微波沿天线构件10的半径方向以辐射状传播的同时,从设于平面天线构件10上的缝隙14中放出微波并使其透过顶板8,将微波导入到下方的处理容器4内,利用该微波在处理容器4内的处理空间S中产生等离子体,对半导体晶片W实施蚀刻或成膜等规定的等离子体处理。
但是,在进行了如上所述的等离子体处理的情况下,由于在处理容器4内的内壁面、载置台6或喷头9等容器内构造物的表面会附着导致颗粒等的不需要的膜,因此例如在每处理1片晶片时,或在每处理规定的多片时,还要定期地或不定期地使清洗气体流过处理容器内,进行除去上述不需要的膜的清洗处理(干式清洗)。
在进行该清洗处理的情况下,作为清洗气体,例如如果所要除去的对象膜为CF系膜,则例如可以使用O2气体,如果是Si系膜,则例如可以使用NF3气体等。此外,为了提高清洗效率,可以在处理容器内产生等离子体而使清洗气体活化,另外还可以提高处理容器内的温度。另外,一般来说,是在处理容器内的压力为数Torr左右的高压力条件下进行,或在100mTorr左右的低压力条件下进行。
但是,使用了等离子体的上述清洗时的处理容器内的压力会对清洗方式造成很大的影响。具体来说,在处理容器内并非均匀地附着不需要的膜,而是存在较多地附着不需要的膜的倾向的场所或难以附着不需要的膜的场所,另外根据场所或温度不同还存在容易除去不需要的膜的场所、或难以除去不需要的膜的场所。所以,例如在清洗时的压力低的情况下,由于电子温度高而清洗作用大的离子成为主体,因此离子敲打不需要的膜,很容易地除去,其结果是,具有清洗速度快的优点,然而在不需要的膜被过早地除去的部分,构件的表面被照射能量高的上述离子敲打,从而有构件本身受到损伤的问题。
与此相反,在清洗时的压力高的情况下,由于清洗作用小的自由基(活性种)成为主体,因此具有如下的优点,即,即使在不需要的膜被过早地除去的部分构件的表面被自由基敲打,构件本身也基本上不会受到损伤,然而会有清洗速度本身慢的问题。
发明内容
本发明是着眼于如上所述的问题,为了有效地解决该问题而提出的。本发明的目的在于,提供一种可以对处理容器的内壁面或处理容器内的构件不造成损伤地、有效并且迅速地进行清洗的等离子体处理装置及其清洗方法。
本发明的等离子体处理装置的清洗方法是在能够抽真空的处理容器内对被处理体使用等离子体实施等离子体处理的等离子体处理装置的清洗方法,其特征在于,具有:第一清洗工序,在向处理容器内供给清洗气体的同时,产生等离子体,将处理容器内维持为第一压力而进行清洗;第二清洗工序,在向处理容器内供给清洗气体的同时,产生等离子体,将处理容器内维持为比第一压力高的第二压力而进行清洗。
像这样,由于具有:第一清洗工序,在向处理容器内供给清洗气体的同时,产生等离子体,将处理容器内维持为第一压力而进行清洗;第二清洗工序,在向处理容器内供给清洗气体的同时,产生等离子体,将处理容器内维持为比第一压力高的第二压力而进行清洗,因此就可以对处理容器的内壁面或处理容器内的构件不造成损伤地、有效并且迅速地进行清洗。
优选在进行了第一清洗工序后,进行第二清洗工序。根据该做法,由于是在最后进行以与离子相比照射能量更少的自由基作为主体的清洗,因此可以进一步抑制对已经除去不需要的膜而露出表面的处理容器的内壁面或构件表面造成损伤的可能性。
或者也可以在进行了第二清洗工序之后,进行第一清洗工序。
第一压力优选为10mTorr-小于750mTorr的范围内,第二压力优选为750mTorr-5Torr的范围内。
优选对处理容器的壁面及/或放置被处理体的载置台进行加热。
优选基于在执行该清洗处理之前对被处理体进行的等离子体处理的累计处理量来求出第一清洗工序与第二清洗工序之间的切换的时机。
优选基于来自在处理容器内产生的等离子体的发光量来求出第一清洗工序与第二清洗工序之间的切换的时机。
本发明的等离子体处理装置的特征在于,具备:能够抽真空的处理容器、为了载置被处理体而设于处理容器内的载置台、用于向处理容器内供给气体的气体供给机构、用于在处理容器内产生等离子体的等离子体形成机构、按照执行上述的等离子体处理装置的清洗方法的方式进行控制的控制机构。
优选在载置台及/或处理容器的壁面中设置加热机构。
本发明的存储介质是储存了如下的计算机可以读取的程序的存储介质,即,在对具备:能够抽真空的处理容器、为了载置被处理体而设于处理容器内的载置台、用于向处理容器内供给气体的气体供给机构、用于在处理容器内产生等离子体的等离子体形成机构、控制装置整体的动作的控制机构的等离子体处理装置进行清洗处理之时,按照执行上述的等离子体处理装置的清洗方法的方式来控制等离子体处理装置。
附图说明
图1是表示本发明的等离子体处理装置的一例的构成图。
图2A是用于说明本发明的第一实施例的清洗方法的流程图。
图2B是用于说明本发明的第二实施例的清洗方法的流程图。
图3是表示构件温度与清洗速度的关系的曲线图。
图4是表示处理容器内的压力与活性种及离子的各自密度的关系的曲线图。
图5是表示使用了微波的以往的普通的等离子体处理装置的概略构成图。
具体实施方式
下面,参照附图对本发明的等离子体处理装置及其清洗方法的优选的一个实施例的方式进行说明。
图1是表示本发明的等离子体处理装置的一例的构成图。这里以作为等离子体处理装置使用了径向线缝隙天线(RLSA:Radial Line SlotAntenna)方式的平面天线构件的情况为例进行说明。
如图所示,等离子体处理装置30具有例如将侧壁或底部利用铝合金等导体构成、整体成形为筒体状的处理容器32,内部被密闭的处理空间S构成,在该处理空间中形成等离子体。该处理容器32本身被接地。
在该处理容器32内,收容有载置台34,在其上面放置作为被处理体的例如半导体晶片W。该载置台34例如利用氧化铝等陶瓷材料制成平坦的近似圆板状,借助例如由铝等制成的支柱36从容器底部竖立起来。
在该处理容器32的侧壁上,形成有开口38,并且在该开口38上,设有在相对于处理容器32的内部将晶片搬入、搬出之时开闭的门阀40。另外,在该处理容器32的侧壁上,作为加热机构嵌入了多根加热棒42,根据需要可以将容器侧壁加热而维持为规定的温度。在容器底部,设有排气口44,并且在该排气口44上,连接有依次设置了压力控制阀46及真空泵48的排气路50,根据需要可以将处理容器32内抽真空而维持规定的压力。
此外,处理容器32的顶部52开口,在这里隔着O形环等密封构件54气密性地设有例如由Al2O3等陶瓷材料制成的对微波具有透过性的顶板52。考虑到耐压性,将该顶板52的厚度例如设定为20mm左右。
此外,在该顶板52的上面设有用于在上述处理容器32内产生等离子体的等离子体形成机构56。具体来说,该等离子体形成机构56具有设于上述顶板52的上面的圆板状的平面天线构件58,在该平面天线构件58上设有滞波材料60。该滞波材料60例如由氮化铝等制成,为了缩短微波的波长而具有高介电常数特性。上述平面天线构件58被作为覆盖上述滞波材料60的上方全面的由导电性的中空圆筒状容器制成的波导箱62的底板构成。在该波导箱62的上部,为了将其冷却而设有流过冷却剂的冷却套64。
在该波导箱62的中心,连接有同轴波导管66的外管66a,内侧的内部导体66b穿过上述滞波材料60的中心的贯穿孔而与上述平面天线构件58的中心部连接。此外,该同轴波导管66经由模式转换器68及矩形波导管70与具有匹配功能(未图示)的例如2.45GHz的微波发生器72连接,从而可以将微波向上述平面天线构件59传输。该频率并不限定于2.45GHz,也可以使用其他的频率,例如8.35GHz。
在上述平面天线构件58在应对大小为300mm尺寸的晶片的情况下,例如由直径为400-500mm、厚为1-数mm的导电性材料制成,例如由表面被镀银的铜板或铝板制成,在该圆板上,形成有例如由长槽状的贯穿孔构成的多个缝隙58a。该缝隙58a的配置方式没有特别限定,例如既可以配置为同心圆状、螺旋状或辐射状,也可以在天线构件全面均匀地分布。该平面天线构件58成为所谓的RLSA(Radial Line SlotAntenna)方式的天线结构,这样就可以获得高密度等离子体及低电子能量的特征。
另外,在上述载置台34的上方,设有气体供给机构74,用于在等离子体处理时或清洗时在控制流量的同时向该处理容器32内供给必需的气体。具体来说,该气体供给机构74具有喷头部78,该喷头部78是将气体流路以格子状形成而在该气体流路的途中形成多个气体喷射孔76而形成的。上述处理空间S内就可以经由该喷头部78的格子状的开口部沿上下方向流通气体。根据与清洗气体的关系,且为了维持耐久性,该喷头部78的整体可以用石英或铝等形成,特别是在使用卤素系气体的情况下,优选为石英。
另外,在上述载置台34的下方,设有在晶片W的搬入搬出时将其升降的多根、例如3根升降销钉80(图1中仅表示了2根),该升降销钉80被利用穿过能够伸缩的波纹管82贯穿容器底部地设置的升降杆84来升降。另外,在上述载置台34上,形成有用于插穿上述升降销钉80的销钉插穿孔86。上述载置台34的整体由耐热材料,例如氧化铝等陶瓷构成,在该陶瓷中设有加热机构88。该加热机构88具有遍及载置台34的大致全部区域而嵌入的薄板状的电阻加热器88a,该电阻加热器88a借助穿过支柱36内的布线90与加热器电源92连接。
另外,在该载置台34的上面侧设有薄的静电卡盘94,该静电卡盘94在内部具有例如以网眼状配设的卡盘电极94a,从而可以利用静电吸附力来吸附放置于该载置台34上,具体来说是放置于该静电卡盘94上的晶片W。此外,该静电卡盘94的上述卡盘电极94a为了产生上述静电吸附力,经由布线96与直流电源98连接。另外,在该布线96上,为了在等离子体处理时对上述静电卡盘94的卡盘电极94a施加例如13.56MHz的偏置用的高频功率,连接有偏置用高频电源100。
另外,在处理容器32的上部侧壁上设有观察用的开口102,并且在该开口102上隔着O形环等密封构件104气密性地安装有由石英板等制成的观察窗106。此外,在该观察窗106的外侧设有受光器108,从而可以检测出来自等离子体的光的强度等。而且,也有不设置该观察窗106或受光器108的情况。
此外,该等离子体处理装置30的整体的动作是由例如以计算机等构成的控制机构110控制的,进行该动作的计算机的程序储存于软盘、CD(Compact Disc)、硬盘、闪存存储器等存储介质112中。具体来说,利用来自该控制机构110的指令,进行各气体的供给或流量控制、微波或高频的供给或功率控制、加工温度或加工压力的控制或基于受光器108的光检测量的控制等。
下面,对使用如上所述的构成的等离子体处理装置30进行的等离子体成膜方法及使用了等离子体的清洗方法进行说明。
首先,对等离子体成膜方法进行说明,经由门阀40将半导体晶片W利用搬送臂(未图示)收容在处理容器32内,通过使升降销钉80上下移动而将晶片W放置于载置台34的上面的载置面上,此后,利用静电卡盘94将该晶片W静电吸附。
该晶片W通过载置台34的加热机构88维持为规定的加工温度,将成膜用的原料气体或等离子体激发用气体等分别以规定的流量流过而从喷头部78向处理容器32内供给,控制压力控制阀46而将处理容器32内维持为规定的加工压力。与此同时,通过驱动等离子体形成机构56的微波发生器72,利用该微波发生器72产生微波,将该微波经由矩形波导管70及同轴波导管66向平面天线构件58供给,向处理空间S中导入利用滞波材料60缩短了波长后的微波,由此在处理空间S中产生等离子体,进行使用了规定的等离子体的成膜处理。此时,容器侧壁被利用嵌入其中的加热棒42加热为规定的温度。
当进行如上所述的等离子体成膜处理时,不仅会在作为目标的晶片W的表面堆积薄膜,而且还会在处理容器32的内壁面或容器内的各种构件上,例如载置台34的表面或升降销钉80的表面或喷头部78等的表面附着不需要的膜。这样,由于此种不需要的膜一旦剥落,则会产生导致材料成品率的降低的颗粒,因此就要向处理容器32内流入清洗气体而进行将上述不需要的膜除去的清洗处理(干式清洗)。
下面,参照图2A-图4对本发明的清洗方法进行说明。图2A及图2B是用于说明本发明的清洗方法的流程图,图3是表示构件温度与清洗速度的关系的曲线图,图4是表示处理容器内的压力与活性种及离子的各个密度的关系的曲线图。
本发明的清洗方法中,为了在尽可能地抑制对处理容器32的内壁面或处理容器32内的各种构件造成的损伤的同时,有效并且迅速地进行清洗处理,将处理容器32内的压力为低压条件的清洗工序和高压条件的清洗工序组合地进行。
具体来说,组合进行第一清洗工序和第二清洗工序,上述第一清洗工序是在向上述处理容器32内供给清洗气体的同时产生等离子体,将上述处理容器32内维持为第一压力而进行清洗的工序,上述第二清洗工序是在向上述处理容器32内供给清洗气体的同时产生等离子体,将上述处理容器32内维持为比上述第一压力高的第二压力而进行清洗的工序。
图2A表示本发明的清洗方法的第一实施例。在清洗中,为了保护载置台34既可以放置伪晶片,或者也可以不放置伪晶片而设为空状态。另外,由于母材温度越高,不需要的膜就越容易除去,因此最好将具有加热机构42、88的容器侧壁或载置台34中的至少一个预先加热。
这里,首先将处理容器32内维持为比较低的压力的第一压力而进行第一清洗工序(S1)。清洗气体可以单独地,或者与Ar或He等作为等离子体激发用气体的稀有气体一起从喷头部78供给。作为该清洗气体,例如如果利用清洗除去的膜是CF系膜,则可以使用O2气体,如果是Si系膜,则可以使用NF3气体等,然而并不限定于它们,可以根据除去对象膜的种类对所用的清洗气体进行各种变更。
上述第一压力例如为10mTorr(1.3Pa)-小于750mTorr(100Pa)的范围内,清洗处理中将处理容器32的压力预先设定为上述压力范围内。在该低压条件的第一清洗工序中,由于如后所述电子密度高而清洗作用大的离子成为主体,因此对露出的母材表面(构件表面)的照射能量变高,损伤的可能性变大,然而由此会具有清洗速度变高的特性。
像这样将第一清洗工序执行到切换的时机(S2的否),或者经过一定程度的时间后如果切换的时机来到(S2的是),则向下面的第二清洗工序转移(S3)。
在该第二清洗工序中,将处理容器32内维持为比上述第一压力高的第二压力而进行清洗(S3)。该第二清洗工序中所用的气体与上述第一情况工序相同,将清洗气体单独地或者与Ar或He等作为等离子体激发用气体的稀有气体一起从喷头部78供给。
上述第二压力例如为750mTorr(100Pa)-5Torr(1333Pa)的范围内,清洗处理中将处理容器32内的压力预先设定为上述压力范围中。
该高压条件的第二清洗工序中,如后所述,由于清洗作用小的自由基(活性种)成为主体,因此对母材表面(构件表面)的照射能量降低,损伤的可能性变小,然而由此具有清洗速度降低的特性。
像这样,将第二清洗工序一直执行到结束的时机来到为止(S4的否),或者经过一定程度的时间后如果结束的时机来到(S4的是),则结束清洗处理。
虽然在上述图2A所示的情况下,先进行第一清洗工序(S1),其后进行第二清洗工序(S3),然而并不限定于此,也可以如图2B所示的第二实施例那样,将上述顺序颠倒,先进行第二清洗工序,其后进行第一清洗工序。即,该第二实施例中首先进行第二清洗工序(S11),如果切换的时机来到(S12的是),则切换到第一清洗工序而执行第一清洗工序(S13)。此后,如果结束的时机来到(S14的是),则结束该清洗处理。该第二实施例的第一及第二清洗工序的气体种类或处理容器32内的压力等与第一实施例的第一及第二清洗工序分别相同。
这里,第一实施例中的从第一清洗工序向第二清洗工序的切换的时机及第二实施例中的从第二清洗工序向第一清洗工序的切换的时机例如是以利用设于处理容器32的侧壁上的受光器108得到的等离子体的光量的变化为基础由控制机构110判断的。具体来说,在处理容器32内存在容易附着不需要的膜的部分和难以附着的部分,所附着的膜厚也根据场所而有相当大的不同。
另外,在清洗处理时,存在不需要的膜容易除去的部分和难以除去的部分,例如具有加热器的载置台34或容器侧壁等温度高的部分就容易除去不需要的膜,不具有加热器的顶板52或喷头部78等温度低的部分就难以除去不需要的膜。
所以,由于并非随着清洗处理的进行,不需要的膜在全部的母材表面被同时地完全除去,因此在某个部分不需要的膜完全除去而露出母材表面,在其他的部分存在不需要的膜仍旧附着的状态,随着清洗处理的进行,母材表面露出的比例逐渐地增加,理想的情况是最终将所有不需要的膜除去。该情况下,由于随着母材表面露出,也就是随着被不需要的膜覆盖的表面积变少,自由基或活性种与不需要的膜反应的概率减少,因此等离子体的发光量就会与之相伴地变化。
这样,就可以用受光器108检测出该发光量的变化而识别上述切换的时机。例如,只要预先求出等离子体的光量的变化与清洗处理的进行程度的相关关系,设定为例如全部母材表面的一半左右的母材表面露出的时间点成为切换的时机即可。当然,该切换的时机可以根据上述受光器108的光量的变化进行各种设定。
另外,同样地,第一实施例的第二清洗工序(S3)的结束的时机或第二实施例的第一清洗工序(S13)的结束的时机也是如上所述,只要将检测出来自受光器108的光量的变化,即例如检测出不需要的膜完全消失时的光量的时间点作为蚀刻处理的结束点来设定即可。
另外,为了求出上述切换的时机或清洗结束的时机,也可以不使用受光器108,而是基于在执行该清洗处理之前,对晶片W进行的等离子体处理的累计处理量来求出。
具体来说,例如若以等离子体成膜处理为例,则由于从上次的清洗处理到开始本次的清洗处理之前进行的等离子体成膜处理的累计膜厚量(在单片清洗的情况下则相当于针对1片晶片的膜厚)已经判明,另外每单位时间的清洗膜厚量也在经验上判明,因此也可以基于上述累计膜厚量及每单位时间的清洗膜厚量等,求出上述第一及第二清洗工序的各处理时间,利用时间管理来求出上述清洗工序的切换时机及清洗处理的结束的时机。
此外,也可以将用上述受光器108求出的光量与上述时间管理组合。例如将作为图2A的第一清洗工序(S1)或图2B的第二清洗工序(S11)的最初的清洗工序进行时间管理而分别执行规定的时间,此后,后面的清洗工序(图2A中的第二清洗工序(S3))或图2B的第一清洗工序(S13))基于受光器108的检测光量来求出结束的时机。
像这样,由于具有第一清洗工序和第二清洗工序,上述第一清洗工序是在向处理容器32内供给清洗气体的同时产生等离子体,将处理容器32内维持为第一压力而进行清洗的工序,上述第二清洗工序是在向处理容器32内供给清洗气体的同时产生等离子体,将处理容器32内维持为比第一压力高的第二压力而进行清洗的工序,因此就可以对处理容器32的内壁面或处理容器32内的构件不造成损伤地、有效并且迅速地进行清洗。
另外,特别是在第一实施例的情况下,由于先进行第一清洗工序,后进行第二清洗工序,因此最后进行以与离子相比照射能量少的自由基为主体的清洗,所以就可以进一步抑制对不需要的膜已经被除去而露出表面的处理容器32的内壁面或构件表面造成损伤的可能性。
换言之,如果像第二实施例那样后进行对母材构件的照射能量大的第一清洗工序,则由于母材表面暴露于照射能量大的离子中的时间变长,因此母材表面受到损伤的概率就会变大,然而在后进行照射能量小的自由基成为主体的第二清洗工序的第一实施例的情况下,就可以减少母材表面受到损伤的概率。
这里参照图3及图4对本发明方法的低压条件的清洗处理与高压条件的清洗处理的组合的优点进行说明。图3是表示构件温度与清洗速度的关系的曲线图,图4是表示处理容器内的压力与活性种及离子的各自密度的关系的曲线图。在图3中判明,就形成处理容器32的内壁、载置台34、顶板52或喷头部74等的母材温度而言,在进行用特性曲线A1表示的以离子作为主体的清洗的情况下,即使母材温度变化,清洗速度也基本上一定,没有多大变化。
与之不同,在进行用特性曲线B1表示的以自由基作为主体的清洗的情况下,在温度低时清洗速度非常小,而当母材温度变高时,清洗速度以二次函数急剧地增加。特别是在母材温度为120℃以上时,判明特性曲线B1的自由基的清洗速度变得特别大。
一般来说,具有电阻加热器88a的载置台34或具有加热棒42的处理容器32的内壁由于在清洗处理时例如加热到200℃左右,因此如果将它们的温度预先加热到120℃以上,则可以将附着于上述载置台34或容器内壁面上的不需要的膜利用清洗迅速地除去,而温度低的其他的部分,例如顶板52的内侧表面或喷头部78的表面温度例如为80℃左右,判明附着于该表面的不需要的膜无法迅速地除去。
另外,图4中判明,就用特性曲线A2表示的离子密度而言,在处理容器32内的压力为50mTorr左右时密度最高,当与之相比压力变小或变大时,则密度就会逐渐地降低。
与之不同,就用特性曲线B2表示的活性种(自由基)密度而言,随着处理容器32内的压力变大,密度变大,最终饱和,在750mTorr左右达到饱和密度的80%左右的密度。
根据图3及图4的曲线图判明,在处理容器内的压力低,例如小于750mTorr的低压条件下,离子密度变高,离子所致的清洗效果成为主流(参照图4),低温部分也可以充分地清洗(参照图3)。但是,由于离子的照射能量比较高,因此如果在过度清洗时母材表面长时间暴露在该离子中,则会产生母材表面受到损伤的可能性。
另外判明,在处理容器内的压力高,例如在750mTorr以上的高压条件下,则活性种(自由基)所致的清洗效果成为主流(参照图4),如果是高温部分(120℃以上),则清洗效果就会充分地变大(参照图3)。但是,由于活性种的照射能量与上述离子相比较小,因此即使在过度清洗时母材表面长时间暴露,也基本上不会有母材表面受到损伤的情况。
根据以上的结果,通过将利用上述2个压力条件,即利用低压条件(第一压力)的清洗工序、和利用高压条件(第二压力)的清洗工序组合执行,就可以在低压条件下将只用离子难以处置的部位或附着量多的部位的不需要的膜除去,在高压条件下避免由长时间暴露于离子中而造成的损伤的产生,并且除去附着量多的部位的不需要的膜,这样就可以如前说明所示,对处理容器32的内壁面或处理容器32内的构件不造成损伤地、有效并且迅速地进行清洗。
特别是在进行了低压条件(第一压力)的清洗工序(第一清洗工序)后,进行高压条件(第二压力)的清洗工序(第二清洗工序)的情况下,由于如前所述,自由基的照射能量与离子相比较小,因此基本上不会有对暴露的母材表面产生损伤的情况,从而可以抑制损伤。
这里如果根据图4判断,则第一压力如上所述小于750mTorr,优选为600mTorr以下。更优选为可以有效地进行清洗的压力,例如为300mTorr以下。另外,其下限为实质性地进行清洗的压力,例如为10mTorr左右。另外,第二压力如上所述为750mTorr以上,优选为自由基密度饱和的900mTorr以上。另外,其上限为生成等离子体的极限压力,例如为5Torr左右。
而且,虽然在上述实施例中作为等离子体处理装置使用了微波发生器72,但并不限定于此,例如在使用了13.56MHz等高频的平行平板型或ICP型的等离子体处理装置等中也可以应用本发明。
另外,虽然在上述实施例中,作为等离子体处理以等离子体成膜处理为例进行了说明,然而并不限定于此。例如对于等离子体蚀刻处理装置或等离子体灰化处理装置等情况,由于也会在容器内壁面或容器内的构件表面附着不需要的膜,因此在这些情况下也可以应用本发明。
另外,虽然在这里作为被处理体以半导体晶片为例进行了说明,.而并不限定于此,对于玻璃基板、LCD基板、陶瓷基板等也可以应用本发明。
工业上的利用可能性
本发明可以用于等离子体处理装置的技术领域中。

Claims (10)

1.一种等离子体处理装置的清洗方法,在能够抽真空的处理容器内对被处理体使用等离子体实施等离子体处理,具有:
第一清洗工序,在向所述处理容器内供给清洗气体,并产生等离子体,将所述处理容器内维持为第一压力而进行清洗;
第二清洗工序,在向所述处理容器内供给清洗气体,并产生等离子体,将所述处理容器内维持为比所述第一压力高的第二压力而进行清洗。
2.根据权利要求1所述的等离子体处理装置的清洗方法,其中,在进行了所述第一清洗工序后,进行所述第二清洗工序。
3.根据权利要求1所述的等离子体处理装置的清洗方法,其中,在进行了所述第二清洗工序后,进行所述第一清洗工序。
4.根据权利要求1所述的等离子体处理装置的清洗方法,其中,所述第一压力为10mTorr-小于750mTorr的范围内,所述第二压力为750mTorr-5Torr的范围内。
5.根据权利要求1所述的等离子体处理装置的清洗方法,其中,对所述处理容器的壁面及/或放置所述被处理体的载置台进行加热。
6.根据权利要求1所述的等离子体处理装置的清洗方法,其中,基于在执行该清洗处理之前对所述被处理体进行的等离子体处理的累计处理量来求出所述第一清洗工序与所述第二清洗工序之间的切换的时机。
7.根据权利要求1所述的等离子体处理装置的清洗方法,其中,基于来自在所述处理容器内产生的等离子体的发光量来求出所述第一清洗工序与所述第二清洗工序之间的切换的时机。
8.一种等离子体处理装置,具备:
能够抽真空的处理容器;
载置台,其为了载置被处理体而设于所述处理容器内;
气体供给机构,其用于向所述处理容器内供给气体;
等离子体形成机构,其用于在所述处理容器内产生等离子体;及
控制机构,其按照执行权利要求1至7中任意一项所述的清洗方法的方式来进行控制。
9.根据权利要求8所述的等离子体处理装置,其中,在所述载置台及/或所述处理容器的壁面中设有加热机构。
10.一种存储介质,储存了如下的计算机可以读取的程序,
在对具备以下构件的等离子体处理装置进行清洗处理之时,按照执行权利要求1至7中任意一项所述的清洗方法的方式来控制所述等离子体处理装置,该等离子体处理装置具备:能够抽真空的处理容器;载置台,其为了载置被处理体而设于所述处理容器内;气体供给机构,其用于向所述处理容器内供给气体;等离子体形成机构,其用于在所述处理容器内产生等离子体;及控制机构,其控制装置整体的动作。
CN2008800062091A 2007-02-27 2008-02-18 等离子体处理装置的清洗方法、执行该清洗方法的等离子体处理装置 Expired - Fee Related CN101622692B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007048124A JP4905179B2 (ja) 2007-02-27 2007-02-27 プラズマ処理装置及びそのクリーニング方法
JP048124/2007 2007-02-27
PCT/JP2008/052650 WO2008105255A1 (ja) 2007-02-27 2008-02-18 プラズマ処理装置のクリーニング方法、そのクリーニング方法を実行するプラズマ処理装置およびそのクリーニング方法を実行するプログラムを記憶する記憶媒体

Publications (2)

Publication Number Publication Date
CN101622692A true CN101622692A (zh) 2010-01-06
CN101622692B CN101622692B (zh) 2011-04-13

Family

ID=39721091

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800062091A Expired - Fee Related CN101622692B (zh) 2007-02-27 2008-02-18 等离子体处理装置的清洗方法、执行该清洗方法的等离子体处理装置

Country Status (6)

Country Link
US (1) US8419859B2 (zh)
JP (1) JP4905179B2 (zh)
KR (1) KR101153330B1 (zh)
CN (1) CN101622692B (zh)
TW (1) TWI411015B (zh)
WO (1) WO2008105255A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102623298A (zh) * 2011-01-30 2012-08-01 中芯国际集成电路制造(上海)有限公司 反应腔室的清洗方法
CN106323724A (zh) * 2015-06-30 2017-01-11 希森美康株式会社 涂抹标本制作装置、血液待测样品处理系统、及清洗方法
CN108080356A (zh) * 2016-11-22 2018-05-29 东莞新科技术研究开发有限公司 空气主轴的抛光处理方法
CN110323115A (zh) * 2018-03-30 2019-10-11 长鑫存储技术有限公司 半导体生产设备自清洗方法及栅极字线结构制备方法
CN113015583A (zh) * 2018-11-16 2021-06-22 大阳日酸株式会社 半导体制造装置部件的清洗装置、半导体制造装置部件的清洗方法及半导体制造装置部件的清洗系统

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2003405A (en) * 2008-09-29 2010-03-30 Asml Netherlands Bv System for contactless cleaning, lithographic apparatus and device manufacturing method.
JP2010118549A (ja) * 2008-11-13 2010-05-27 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP5576101B2 (ja) * 2008-12-25 2014-08-20 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5442403B2 (ja) * 2009-11-18 2014-03-12 東京エレクトロン株式会社 基板処理装置及びそのクリーニング方法並びにプログラムを記録した記録媒体
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
JP5819448B2 (ja) * 2014-01-06 2015-11-24 東京エレクトロン株式会社 プラズマ処理装置、異常判定方法及びマイクロ波発生器
JP6956660B2 (ja) * 2018-03-19 2021-11-02 東京エレクトロン株式会社 クリーニング方法及び成膜装置
JP7023188B2 (ja) 2018-06-11 2022-02-21 東京エレクトロン株式会社 クリーニング方法
US11004710B2 (en) * 2019-06-04 2021-05-11 Applied Materials, Inc. Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
JP2021034515A (ja) * 2019-08-22 2021-03-01 東京エレクトロン株式会社 クリーニング方法及びマイクロ波プラズマ処理装置
KR102336559B1 (ko) * 2019-11-26 2021-12-08 세메스 주식회사 부품 표면 처리 방법 및 부품 처리 장치
WO2021230109A1 (ja) * 2020-05-12 2021-11-18 株式会社クリエイティブコーティングス Ald方法及びald装置
JP2022151131A (ja) 2021-03-26 2022-10-07 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03191073A (ja) 1989-12-21 1991-08-21 Canon Inc マイクロ波プラズマ処理装置
DE69128050D1 (de) 1990-06-29 1997-12-04 Applied Materials Inc Zweistufiges Selbstreinigungsverfahren einer Reaktionskammer
JP2949874B2 (ja) 1990-11-21 1999-09-20 富士電機株式会社 Ecrプラズマcvd装置ドライクリーニングの方法
JPH05343334A (ja) 1992-06-09 1993-12-24 Hitachi Ltd プラズマ発生装置
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
JP3233575B2 (ja) 1995-05-26 2001-11-26 東京エレクトロン株式会社 プラズマ処理装置
JP4338355B2 (ja) 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
JP2004039743A (ja) * 2002-07-01 2004-02-05 Hitachi Kokusai Electric Inc 基板処理装置
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
KR100900587B1 (ko) 2003-11-11 2009-06-02 도쿄엘렉트론가부시키가이샤 기판 처리 방법
JP2006004962A (ja) * 2004-06-15 2006-01-05 Canon Inc 堆積膜形成装置およびそのクリーニング方法
JP2006086325A (ja) 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法
JP2006351814A (ja) * 2005-06-15 2006-12-28 Tokyo Electron Ltd クリーニング方法、コンピュータプログラム及び成膜装置
JP2007142018A (ja) * 2005-11-16 2007-06-07 Seiko Epson Corp 成膜装置のクリーニング方法及び半導体装置の製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102623298A (zh) * 2011-01-30 2012-08-01 中芯国际集成电路制造(上海)有限公司 反应腔室的清洗方法
CN102623298B (zh) * 2011-01-30 2014-09-24 中芯国际集成电路制造(上海)有限公司 反应腔室的清洗方法
CN106323724A (zh) * 2015-06-30 2017-01-11 希森美康株式会社 涂抹标本制作装置、血液待测样品处理系统、及清洗方法
CN108080356A (zh) * 2016-11-22 2018-05-29 东莞新科技术研究开发有限公司 空气主轴的抛光处理方法
CN110323115A (zh) * 2018-03-30 2019-10-11 长鑫存储技术有限公司 半导体生产设备自清洗方法及栅极字线结构制备方法
CN110323115B (zh) * 2018-03-30 2021-10-22 长鑫存储技术有限公司 半导体生产设备自清洗方法及栅极字线结构制备方法
CN113015583A (zh) * 2018-11-16 2021-06-22 大阳日酸株式会社 半导体制造装置部件的清洗装置、半导体制造装置部件的清洗方法及半导体制造装置部件的清洗系统
CN113015583B (zh) * 2018-11-16 2023-08-11 大阳日酸株式会社 半导体制造装置部件的清洗装置、清洗方法及清洗系统

Also Published As

Publication number Publication date
WO2008105255A1 (ja) 2008-09-04
TWI411015B (zh) 2013-10-01
CN101622692B (zh) 2011-04-13
JP2008211099A (ja) 2008-09-11
KR101153330B1 (ko) 2012-06-05
JP4905179B2 (ja) 2012-03-28
US20100175713A1 (en) 2010-07-15
KR20090110854A (ko) 2009-10-22
US8419859B2 (en) 2013-04-16
TW200901288A (en) 2009-01-01

Similar Documents

Publication Publication Date Title
CN101622692B (zh) 等离子体处理装置的清洗方法、执行该清洗方法的等离子体处理装置
TWI760555B (zh) 蝕刻方法
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
JP4191137B2 (ja) 基板処理装置のクリーニング方法
JP2004179426A (ja) 基板処理装置のクリーニング方法
TW200830375A (en) Plasma cleaning process and plasma CVD method
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
TW200818301A (en) Dry etching method of insulating film
US20210327719A1 (en) Method for processing workpiece
TW201703098A (zh) 上部電極之表面處理方法、電漿處理裝置及上部電極
US20230335409A1 (en) Substrate processing method and substrate processing apparatus
JP2011530170A (ja) チャンバのプラズマ洗浄プロセス方法
US11282701B2 (en) Plasma processing method and plasma processing apparatus
TWI830129B (zh) 蝕刻裝置及蝕刻方法
CN107710391B (zh) 对多层膜进行蚀刻的方法
JP7382848B2 (ja) 基板処理方法および基板処理装置
EP3016134A1 (en) Method of etching organic film
JP7229033B2 (ja) 基板処理方法及び基板処理装置
TW202205348A (zh) 邊緣環及電漿處理裝置
TW202006991A (zh) 微透鏡之製造方法及電漿處理裝置
US20040097087A1 (en) Chamber structure in inductive coupling plasma etching apparatus
WO2008001853A1 (fr) procédé de traitement au plasma et équipement

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110413

Termination date: 20140218