CN101330045A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN101330045A
CN101330045A CNA2008101454383A CN200810145438A CN101330045A CN 101330045 A CN101330045 A CN 101330045A CN A2008101454383 A CNA2008101454383 A CN A2008101454383A CN 200810145438 A CN200810145438 A CN 200810145438A CN 101330045 A CN101330045 A CN 101330045A
Authority
CN
China
Prior art keywords
dielectric film
film
wiring
forms
peristome
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008101454383A
Other languages
English (en)
Other versions
CN101330045B (zh
Inventor
古泽健志
三浦典子
后藤欣哉
松浦正纯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Publication of CN101330045A publication Critical patent/CN101330045A/zh
Application granted granted Critical
Publication of CN101330045B publication Critical patent/CN101330045B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种制造使用有机硅氧烷类绝缘膜且电特性优良的半导体装置的方法。具备在半导体衬底上形成的多层布线结构的半导体装置中,该多层布线结构具有层间绝缘膜,该层间绝缘膜至少部分具备相对介电常数小于等于3.1且硬度大于等于2.7GPa的有机硅氧烷类绝缘膜。此外,该有机硅氧烷类绝缘膜中碳原子数与硅原子数之比大于等于0.5小于等于1.0。另外,该多层布线结构在有机硅氧烷类绝缘膜的上面具有从有机硅氧烷类绝缘膜中进行脱碳并且使碳原子数与硅原子数之比小于等于0.1的绝缘层。

Description

半导体装置的制造方法
本申请是下述申请的分案申请:
发明名称:半导体装置及其制造方法
申请日:2005年7月6日
申请号:200510082522.1
技术领域
本发明涉及半导体装置及其制造方法,更详细地说涉及具有低介电常数绝缘膜的半导体装置及其制造方法。
背景技术
近年来,随着半导体装置的微细化、高速化,布线结构多层化技术不断发展。但是,伴随这样的微细化、高速化以及多层化的发展,出现了由于布线电阻以及布线间和布线层间的寄生电容的增大而导致信号延迟的问题。由于信号延迟T与布线电阻R和寄生电容C的积成正比,所以为了降低信号延迟T,就需要降低布线层的电阻并且降低寄生电容。
为了降低布线电阻R,可以使用较低电阻的布线材料。具体而言,可举出从以往的铝(Al)布线转向铜(Cu)布线等。
另一方面,布线层间的寄生电容C与设在布线层间的层间绝缘膜的相对介电常数ε、布线层的间隔d以及布线层的侧面积S之间具有如下关系:C=(ε·S)/d。因此,要降低寄生电容C,就需要实现层间绝缘膜的低介电常数化。因而,对相对介电常数比以往使用的SiO2膜(相对介电常数3.9)低的绝缘膜(以下称为Low-k膜。)进行研究。特别是,有机硅氧烷类绝缘膜作为实现相对介电常数小于等于3.1的材料而倍受瞩目。
对于有机硅氧烷类绝缘膜,除了可以通过化学气相沉积法(Chemical Vapor Deposition Method,以下称作CVD法)成膜之外,还可以通过旋涂法成膜。但是不论是哪一种方法形成的绝缘膜,其结构都是在Si-O-Si键构成的主骨架上含有支链Si-R(R:有机基)键。这里的R一般采用耐热性优良的CH3。另外,作为其它成分有时也含有Si-H键或Si-C-Si键等。此外,含C的未反应物或反应副生成物,也可以以杂质形式残留于绝缘膜中。
通过CVD法形成的绝缘膜以采用有机硅烷和氧化性气体的为代表。另外,还有下述方法:使用R2Si(OR’)2或者R4Si2O(OR’)2(其中R,R’为CH3等。)等的烷氧基硅烷和惰性气体,使原料气体在反应室内长时间滞留的条件下成膜(参照专利文献1)。通过这些方法形成的有机硅氧烷类绝缘膜的硬度通常小于等于2Gpa。
另外,还有下述方法:作为烷氧基硅烷采用RnSi(OR’)3-n(其中R为CH3,R’为CH3或C2H5,0.75≤n≤1.5。),与惰性气体混合,在反应室内滞留时间较短的条件下成膜(参照专利文献2)。通过该方法可得到1≤(C/Si)≤2、硬度4.4Gpa的有机硅氧烷类绝缘膜。
另一方面,作为涂敷型的有机硅氧烷类绝缘膜,相对介电常数小于等于3,杨氏模量低于50Gpa(参照专利文献3)。此时,为了避免在引线键合时对绝缘膜造成机械损伤,需要在上层层叠杨氏模量大于等于50GPa的保护膜。
但是,作为使用了Low-k膜的铜布线的形成方法,可以根据嵌入(Damascene)法。公知这是考虑到铜比铝难以进行刻蚀速率的控制因而不对铜进行刻蚀地来形成布线的技术。
嵌入法具体而言是下面这样的技术:在Low-k膜上形成SiO2膜之后,通过以抗蚀剂图形作为掩模的这些膜的干刻蚀从而形成开口部,在该开口部上经由阻挡层金属膜埋入铜层而形成铜布线层。铜层的埋入是在通过镀金法以埋设于开口部中的方式形成铜层之后,通过化学机械研磨法(Chemical Mechanical Polishing Method,以下称为CMP法),以仅在开口部内保留铜层的方式来研磨表面而实现的。
专利文献1:特开2000-349083号公报
专利文献2:特开2001-203200号公报
专利文献3:特开2000-340569号公报
在使用有机硅氧烷类绝缘膜作为Low-k膜的情况下,为了确保表面的平坦性,在所述CMP工序中,对整个SiO2膜以及一部分有机硅氧烷类绝缘膜进行研磨。然后,通过使用氨等还原性气体的等离子体处理,在还原铜层表面的同时,清洁有机硅氧烷类绝缘膜的表面。随后,在其上形成用于防止铜扩散的阻挡层绝缘膜。然而,在所述还原性等离子体处理工序中,由于有机硅氧烷类绝缘膜中的碳被还原,所以存在有机硅烷绝缘膜的表面形成变质层的问题。
由于变质层的电特性比原绝缘膜要差,所以当形成变质层时邻接的布线间的绝缘特性就会降低。布线间的间隔越小,该问题就越严重。
对此有这样的方法,即通过在有机硅氧烷类绝缘膜上沉积较厚(100nm左右)的SiO2膜,利用CMP法研磨结束后露出的面就不是有机硅氧烷类绝缘膜而是SiO2膜。由于SiO2膜中几乎不含碳,所以通过该方法,即使进行还原性等离子体处理,也不会形成变质层。然而,由于SiO2膜的相对介电常数较大,实际上布线间的寄生电容C不会降低。
另外,由于电特性的降低与变质层的膜厚有关,所以变质层的膜厚最好较薄。如果缩短还原性等离子体处理的时间,虽然可以减小变质层的膜厚,但是会导致铜层表面还原以及绝缘膜表面的清洁度不充分,反而引起所不希望的可靠性降低。
另一方面,如果是不易形成变质层的绝缘膜,就不需要在上层形成SiO2膜。例如,在使用上述RnSi(OR’)3-n形成的绝缘膜就不易形成变质层。但是,在这种情况下,由于膜中的含碳量极高,无法确保转印抗蚀剂图形时的刻蚀选择比。
发明内容
本发明是鉴于上述问题而提出的。即,本发明旨在提供一种使用有机硅氧烷类绝缘膜且电特性优良的半导体装置。
另外,本发明的目的还在于提供一种制造使用有机硅氧烷类绝缘膜且电特性优良的半导体装置的方法。
本发明的其他目的和优点通过下述记载就可变得清楚了。
本发明的第一方面是:具备在半导体衬底上形成的多层布线结构的半导体装置中,该多层布线结构具有层间绝缘膜,该层间绝缘膜至少部分具备相对介电常数小于等于3.1且硬度大于等于2.7GPa的有机硅氧烷类绝缘膜,该有机硅氧烷类绝缘膜中碳原子数与硅原子数之比大于等于0.5小于等于1.0。在第1绝缘膜的上面,具有从第1绝缘膜中进行脱碳并且使碳原子数与硅原子数之比小于等于0.1的绝缘层。另外,在本说明书中,有时也将该绝缘层称为变质层。
此外,本发明的第二方面是:在具有多层布线结构的半导体装置的制造方法中,具有以下工序:在半导体衬底上形成的下层布线上形成第1绝缘膜;在该第1绝缘膜上形成第2绝缘膜;在该第2绝缘膜上形成第3绝缘膜;对第3绝缘膜、第2绝缘膜以及第1绝缘膜进行干刻蚀,形成直到下层布线的开口部;在开口部的内面以及第3绝缘膜上形成阻挡层金属膜;以埋入开口部的方式在阻挡层金属膜上形成导电层;除开口部的内部之外,对导电层、阻挡层金属膜、第3绝缘膜以及第2绝缘膜的一部分通过化学机械研磨法除去,形成与下层布线电连接的上层布线;以及对露出的第2绝缘膜和导电层的表面进行还原性等离子体处理。而且,形成第2绝缘膜的工序具有如下特征:使用通式:RwSixOy(OR’)z(其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,(w/x)=2。)所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下成膜。
此外,本发明的第三方面是:在具有多层布线结构的半导体装置的制造方法中,具有以下工序:在半导体衬底上形成的下层布线上形成第1绝缘膜;在该第1绝缘膜上形成第2绝缘膜;在该第2绝缘膜上形成第3绝缘膜;对第3绝缘膜、第2绝缘膜以及第1绝缘膜进行干刻蚀,形成直到下层布线的开口部;在该开口部的内面以及第3绝缘膜上形成阻挡层金属膜;以埋入开口部的方式在阻挡层金属膜上形成导电层;除开口部的内部之外,对导电层、阻挡层金属膜、第3绝缘膜以及第2绝缘膜的一部分通过化学机械研磨法除去,形成与下层布线电连接的上层布线;以及对露出的第2绝缘膜和导电层的表面进行还原性等离子体处理。而且,形成第2绝缘膜的工序具有如下特征:以通式:RwSixOy(OR’)z(其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,(w/x)=2。)所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在大于等于650Pa的压力下进行成膜直至达到规定膜厚,而后将压力变为小于等于500Pa进一步进行成膜。
本发明的第四方面是:具有多层布线结构的半导体装置的制造方法,具有以下工序:在半导体衬底上形成的下层布线上形成第1绝缘膜;在该第1绝缘膜上形成第2绝缘膜;在该第2绝缘膜上形成第3绝缘膜;在该第3绝缘膜上形成第4绝缘膜;对第4绝缘膜、第3绝缘膜、第2绝缘膜以及第1绝缘膜进行干刻蚀,形成直到下层布线的开口部;在该开口部的内面以及第4绝缘膜上形成阻挡层金属膜;以埋入开口部的方式在阻挡层金属膜上形成导电层;除开口部的内部之外,对导电层、阻挡层金属膜、第4绝缘膜以及第3绝缘膜的一部分通过化学机械研磨法除去,形成与下层布线电连接的上层布线;以及对露出的第3绝缘膜和导电层的表面进行还原性等离子体处理。而且,形成第2绝缘膜的工序是形成相对介电常数比第3绝缘膜小的绝缘膜的工序,形成第3绝缘膜的工序具有如下特征:使用通式:RwSixOy(OR’)z(其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,(w/x)=2。)所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下成膜。
根据本发明的第一方面,因为多层布线结构具有层间绝缘膜,该层间绝缘膜至少部分具备相对介电常数小于等于3.1且硬度大于等于2.7GPa的绝缘膜,并且该绝缘膜包含硅、碳和氧,碳原子数与硅原子数之比大于等于0.5小于等于1.0,因此能够使变质层的膜质致密而防止电特性的降低。此外,也能够确保转印抗蚀剂图形时的刻蚀选择比。
根据本发明的第二方面,因为使用通式:RwSixOy(OR’)z所表示的烷基烷氧基硅烷以及非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下形成第2绝缘膜,因此能够使变质层的膜质致密而防止电特性的降低。
根据本发明的第三方面,由于形成第2绝缘膜的工序中,以通式:RwSixOy(OR’)z所表示的烷基烷氧基硅烷以及非氧化性气体作为原料气体,使用等离子体CVD法在大于等于650Pa的压力下成膜直至达到规定膜厚,而后将压力变为小于等于500Pa进一步进行成膜,因此能够使变质层的膜质致密而防止电特性的降低并且可使寄生电容降低。
根据本发明的第四方面,形成第2绝缘膜的工序形成相对介电常数比第3绝缘膜小的绝缘膜的工序,形成第3绝缘膜的工序使用通式:RwSixOy(OR’)z所表示的烷基烷氧基硅烷以及非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力条件下成膜,因此能够使变质层的膜质致密而防止电特性的降低并且可使寄生电容降低。
附图说明
图1是表示对于本发明的有机硅氧烷类绝缘膜、在改变压力时硬度与相对介电常数的关系的图。
图2是表示对于本发明的有机硅氧烷类绝缘膜、刻蚀时间与通过刻蚀除去的膜厚的关系的图。
图3是表示对于本发明的有机硅氧烷类绝缘膜、改变硬度时变质层的膜厚与刻蚀速率的初速度的关系的图。
图4是表示在本发明中有机硅氧烷类绝缘膜的硬度与初始故障发生率的关系的图。
图5是本发明半导体装置的制造方法的说明图。
图6是本发明半导体装置的制造方法的说明图。
图7是本发明半导体装置的制造方法的说明图。
图8是本发明半导体装置的制造方法的说明图。
图9是本发明半导体装置的制造方法的说明图。
图10是本发明半导体装置的制造方法的说明图。
图11是本发明半导体装置的制造方法的说明图。
图12是本发明半导体装置的制造方法的说明图。
图13是本发明半导体装置的制造方法的说明图。
图14是本发明半导体装置的制造方法的说明图。
具体实施方式
在本发明中,变质层定义为下述这样的层:通过还原性等离子体处理从有机硅氧烷类绝缘膜中进行脱碳,使膜中的C原子数与Si原子数之比小于等于0.1。另外,在脱碳时,也可以将除碳之外的其他成分一起除去。
根据上述定义,变质层的主要成分为Si和O。因此,与通过先在有机硅氧烷类绝缘膜上沉积较厚的SiO2膜、以CMP法完成研磨后使SiO2膜露出的方法所形成的结构在外观上类似。然而,本发明中的变质层,与在有机硅氧烷类绝缘膜上沉积的SiO2膜具有显著的不同。即,因为依赖于图形密度且CMP的侵蚀量在数十nm左右的范围内变化,所以研磨结束后残存的SiO2膜的膜厚也会随之变化。因此,SiO2膜的膜厚的最大值与最小值的差大于等于平均值的50%。另一方面,变质层的膜厚由等离子体处理的均匀程度决定,其最大值与最小值的差只不过是小于等于平均值的20%。
另外,本发明的变质层,在提高粘接性的目的上,与通过氧等离子体处理或氦等离子体处理形成的变质层不同。例如,在有机硅氧烷类绝缘膜的表面实施等离子体处理后形成SiO2膜的情况下,在该膜的界面形成等离子体处理的变质层。然而,该变质层在随后的CMP工序中全部被研磨。因此,在最终结构中没有剩余这方面与本发明的变质层有明确不同。
为了避免由于变质层的形成导致电特性的降低,通过使变质层致密,能够有效提高其膜质。然而,对于有机硅氧烷类绝缘膜,每单位体积中所含的Si-CH3键或者作为杂质的反应副生成物的量较大,通过还原性等离子体的作用使碳或氢脱离从而显著降低了膜密度,因而不能获得具有良好膜质的变质层。另外,在这种情况下,脱离后的膜密度越低则还原性等离子体越容易渗透内部,因此,使变质层的膜厚变厚,变质层对电特性影响变大。
另一方面,Si-O-Si键不能通过还原性等离子体被分解。此外,Si-C-Si键通过还原性等离子体向Si-N-Si键或Si-O-Si键转化,因而不会导致变质层的密度显著降低。因此,为使变质层致密,也可以减少Si-CH3键或反应生成物的量而增大Si-O-Si键或Si-C-Si键的量。但是,如果仅减少膜中的碳而增加Si-O-Si键,则变质层变得致密,膜成为了接近SiO2膜的结构。所以,相对介电常数变高,显然无法收到作为Low-k膜的效果。
如上所述,本发明者发现确保实现低介电常数化所需程度的含碳量,同时减少Si-CH3键以及反应副生成物的比例,增大Si-C-Si键的比例,这些都是很有效的,于是作出了本发明。
另外,包含在有机硅氧烷类绝缘膜中的Si-CH3、Si-C-Si以及Si-O-Si等各键,可以通过傅里叶变换法红外吸收分光光度测定(以下称为FTIR。)等方法确认其存在。然而却难以定量的分析这些键。
因此,在本发明中,以膜的硬度为指标。当膜的每单位体积中对键有贡献的成分的含量提高时,则有机硅氧烷类绝缘膜的硬度就高。这里,对键有贡献的成分是指Si-O-Si键和Si-C-Si键。另一方面,在键的终端的Si-CH3或所包含的作为杂质的反应副生成物不对键有贡献,因而其含量增大时膜的硬度降低。在本发明中优选硬度高而相对介电常数低的绝缘膜。
另外,本发明的有机硅氧烷类绝缘膜,碳原子数是硅原子数的大于等于0.5倍小于等于1.0倍(0.5≤(C/Si)≤1.0)。但是不希望由于碳过少而不能使相对介电常数小于等于3.1。另一方面,也不希望由于碳过多而无法确保转印抗蚀剂图形时的刻蚀选择比。
本发明的有机硅氧烷类绝缘膜,使用由通式(1)表示的烷基烷氧基硅烷的蒸汽以及非氧化性气体的混合气体,通过等离子体CVD法形成。非氧化性气体可以是He(氦)、Ar(氩)以及N2(氮)等惰性气体。它们可以单独使用,也可以混合使用。
RwSixOy(OR’)z    …(1)
在式(1)中,R和R’均为CH3。此外w、x、z分别为正整数,y是0(零)或正整数,(w/x)=2。
具体而言,Si-OR’键彼此结合形成Si-O-Si键。另外Si-CH3键的一部分分解,分解部分彼此结合形成Si-C-Si键。
在形成有机硅氧烷类绝缘膜时使用非氧化性气体,是为了在氧化性气体的丰度化下使Si-CH3键和Si-C-Si键分解。然而,不使用氧化性气体则容易在膜中残留作为杂质的由不需要的有机成分(R’)生成的反应生成物。为了抑制这种情况,降低R’中C和H含量,并且优选降低R’的数量。具体而言,设R’为CH3,并且优选其个数相对于1个Si小于等于2个((z/x)≤2)。
作为例1,分别将(CH3)2Si(OCH3)2(二甲基二甲氧基硅烷)的气体以流量200sccm、氦气以流量100sccm分别供给到成膜装置内,在压力350Pa~700Pa、衬底温度375℃、RF功率1300W的条件下成膜。
使用FTIR研究所形成的膜中的键,能够观察到Si-O-Si键和Si-CH3键、以及Si-CH3键分解生成的Si-C-Si键。在这种情况下,成膜时的压力越低,Si-CH3键就越少而Si-C-Si键就越多。
另外,使用卢瑟福后方散射(RBS)进行测定,膜中的碳与硅原子数之比(C/Si),与条件无关而在0.84~0.86的范围内且大致恒定。
根据上述例1,形成了膜厚不同的两种有机硅氧烷类绝缘膜。图1表示了改变压力时的硬度与相对介电常数的关系。如图所示,硬度提高则相对介电常数增大。另外,压力降低则具有相对介电常数和硬度提高的趋势。具体而言,压力在小于等于500Pa时则硬度达到大于等于2.7GPa,压力在小于等于470Pa时硬度则达到大于等于3.0GPa。另一方面,压力低则相对介电常数大,在350Pa~700Pa的范围内,相对介电常数均小于等于3.1。另外,相对介电常数的测定是对膜厚200nm的试料采用水银探测法进行的。另外,硬度的测定是对膜厚600nm的试料采用纳米压痕法进行的。
作为例2,使用(CH3)4Si2O(OCH3)2和氦的混合气体,与上例一样地形成有机硅氧烷类绝缘膜。这种情况下,在压力400Pa时得到相对介电常数2.7、硬度3.0GPa的膜。
另外,以Ar气或N2气替代He气作为反应气体,与例1和例2同样地成膜,所得膜的特性没有明显差别。
如上所述,在本发明中,使用绝缘膜作为层间绝缘膜,该绝缘膜含有硅、碳、氧,碳原子数与硅原子数之比大于等于0.5小于等于1.0且相对介电常数小于等于3.1、硬度大于等于2.7GPa。使用通式RwSixOy(OR’)z所表示的烷基烷氧基硅烷以及非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下形成上述绝缘膜。其中,为了使变质层的膜质更加优良,优选使用相对介电常数小于等于3.1、硬度大于等于3.0GPa的绝缘膜作为层间绝缘膜。使用上述原料气体,通过等离子体CVD法在小于等于470Pa的压力下形成该绝缘膜。
上述专利文献3中,公开了相对介电常数小于等于3而具有小于50GPa杨氏模量的有机SOG膜。然而,专利文献3中,为了避免由于SOG膜的机械强度较低而产生裂纹,在SOG膜和电极焊盘之间设置具有大于等于50GPa杨氏模量的绝缘层。另一方面,本发明的特点在于,基于变质层的膜质与硬度的关系,通过对硬度、相对介电常数以及刻蚀选择比进行比较研究,使用碳原子数与硅原子数之比大于等于0.5小于等于1.0且相对介电常数小于等于3.1、硬度大于等于2.7GPa的绝缘膜作为层间绝缘膜。因此,本发明与专利文献3公开的发明具有显著差别。另外,换言之,根据图1的关系,在本发明中,使用硬度大于等于2.7GPa小于等于4.0GPa,优选大于等于3.0GPa小于等于4.0GPa的绝缘膜作为层间绝缘膜。
下面,对于根据例1制成的膜厚100nm的有机硅氧烷类绝缘膜,使用平行平板型等离子体CVD装置进行氨等离子体处理。另外,处理条件与通过CMP法在研磨后进行的还原性等离子体处理相同。
在上述等离子体处理进行30秒后,使用浓度0.5%的氟酸水溶液研究膜的刻蚀速率。图2表示了刻蚀时间与通过刻蚀除去的膜厚的关系。如图所示,随着时间的经过刻蚀速率呈下降趋势。在除去膜厚达到B点、变质层全部除去时,刻蚀速率降为零。刻蚀速率降为零通常所需的时间为2分钟左右。另外,在刻蚀前后对膜进行FTIR频谱进行测定,根据其差值得出除去的变质层的频谱,但是不能从膜中检测出的Si-CH3等有机成分。
图3表示了变质层的膜厚(B)和刻蚀速率的初速度(B/A,其中A表示刻蚀时间。)对于膜的硬度的图表。如图所示,硬度越高则变质层的膜厚越薄。另外,同时由于刻蚀速率低,所以变质层的膜质致密。
如图所示,硬度3.6GPa下的刻蚀速率为9.2nm/分。这与通过等离子体CVD法形成SiO2膜的刻蚀速率(11nm/分)在同一程度上。另外,硬度大于等于2.7GPa则变质层的膜厚小于等于14nm,硬度大于等于3.0GPa则变质层的膜厚小于等于12nm。进而,研究变质层膜厚的面内均一性,其最大值与最小值之差在平均值的20%以内。即,根据本发明,通过使用硬度大于等于2.7GPa的绝缘膜,所形成的变质层的膜厚大致大于等于5nm小于等于15nm。
另外,图2以及图3的趋势,对于在上述例2的条件下形成的膜也是同样的。
接下来,在硅衬底上,以例1的条件形成膜厚500nm的有机硅氧烷类绝缘膜。接着,在其上形成膜厚30nm的SiO2膜之后,以抗蚀剂图形作为掩模形成开口部。然后除去抗蚀剂图形,沉积20nm由氮化钽膜和钽膜组成的阻挡层金属膜,进而在其上形成铜层。然后,对整个SiO2膜以及有机硅氧烷类绝缘膜的一部分通过CMP法进行研磨,形成铜布线层。接着,对露出的有机硅氧烷类绝缘膜和铜层的表面进行氨等离子体处理,在其上形成作为阻挡层绝缘膜的SiCN膜。
将得到的衬底加热到140℃,一边在绝缘的相邻布线间施加电场,一边研究漏电流的经时间变化。另外,此时相邻布线间的距离为140nm。测定产生绝缘破坏的时间并进行统计分析,分为初始故障和真性故障两种故障模式。这里,真性故障具有足够的寿命,所以将初始故障判定为不良从而求得与硬度的关系。结果如图4所示,硬度越大不良发生率越低。具体而言,硬度大于等于2.7GPa则成品率大于等于97%,达到实用水平是没有问题的。另外,硬度大于等于3.0GPa则成品率达到100%,获得更高的可靠性。
另外,图4的趋势,对于在上述例2的条件下形成的膜也是一样的。
下面,参照图5~图15,说明本发明半导体装置的制造方法。另外,对于晶体管、扩散层和栓(plug)形成等通常的LSI制造工序省略说明,而就金属布线的形成工序进行说明。
首先,准备形成有下层布线1的半导体衬底(图5)。作为半导体衬底,可以采用例如硅衬底。另外,简便起见,图中省略了下层布线1的结构。
接着,在下层布线1上,顺序形成第1绝缘膜2、第2绝缘膜3以及第3绝缘膜4(图5)。这里,第1绝缘膜2是阻挡层绝缘膜,可以是例如SiN膜或SiCN膜等含N(氮)的绝缘膜。此外,第2绝缘膜3是本发明的有机硅氧烷类绝缘膜。进而,第3绝缘膜4可以是SiO2膜。
在形成第3绝缘膜4后,形成具有规定图形的抗蚀膜5(图6)。具体而言,在第3绝缘膜4的整个表面涂敷光致抗蚀剂(未图示),然后使用曝光光经由具有规定图形的掩模照射光致抗蚀剂。接着,通过用适当的显像液使光致抗蚀剂显像,可以形成具有规定图形的抗蚀膜5。
曝光光的种类,可以根据半导体装置的设计规格适当选取。例如,对于0.25μm~0.13μm的设计规格可以采用KrF(氟化氪)受激准分子激光器(波长248nm),对于90nm~65nm的设计规格可以采用ArF(氟化氩)受激准分子激光器(波长193nm),分别作为曝光装置的光源。
下面,以抗蚀膜5作为掩模,对第3绝缘膜4和第2绝缘膜3进行第一干刻蚀。该第一干刻蚀在到达第1绝缘膜2时自动结束,形成直到第1绝缘膜2的开口部6(图7)。
接下来,通过低压氧等离子体除去抗蚀膜5,然后以第3绝缘膜4和第2绝缘膜3为掩模,对第1绝缘膜2进行第二干刻蚀。由此形成直到下层布线1的开口部7(图8)。这里,开口部7可以是布线槽或者布线孔的任一个。
另外,通过低压氧等离子体处理,在开口部7的内面形成变质层,该变质层不同于作为本发明对象的“从有机硅氧烷类绝缘膜中进行脱碳并且使碳原子数与硅原子数之比小于等于0.1的绝缘层”。
接着,在开口部7的内面形成阻挡层金属膜,然后经由阻挡层金属膜在布线槽内部埋入铜层从而形成铜布线。对该工序详述如下。
首先,在开口部7的内面和第3绝缘膜4上,作为阻挡层金属膜8,形成由氮化钽和钽膜组成的层叠膜。阻挡层金属膜8的膜厚例如可以是20nm左右。继而,在阻挡层金属膜8上,将作为导电层的铜层9以埋入开口部7的方式成膜。由此而得如图9所示的结构。
接着,采用CMP法,对铜层9和阻挡层金属膜8进行研磨。此时,为了提高表面的平坦性,对整个第3绝缘膜4以及第2绝缘膜3的一部分进行研磨。由此,仅在开口部7的内部残留铜层9和阻挡层金属膜8,从而形成与下层布线1电连接第一布线10。另外,研磨后的表面上露出了第2绝缘膜3(图10)。
下面,进行使用氨的还原性等离子体处理,还原铜层9的表面,并且清洁了第2绝缘膜3的表面。由此,如图12所示,第2绝缘膜3中的碳被还原而形成变质层3’。变质层3’的膜厚例如是12nm左右。另外,也可以用氢代替氨,或者同时使用氨和氢两者。
通过上述工序,就可以形成使用了本发明有机硅氧烷类绝缘膜的布线层(图11)。
下面,利用通路端方式双单镶嵌法,重复进行与图5~图11同样的工序,从而形成与第一布线10电连接的第二布线11(图12)。进而,重复同样的工序形成多层布线结构。
在图12中,在第2绝缘膜3上,设有布线槽13以及与布线槽13对应的通孔12。并且,在布线槽13和通孔12中填充铜层9而形成第二布线11。
在本实施方式中,也可以形成由硬度和相对介电常数不同的多层有机硅氧烷类绝缘膜组合而成的布线层。具体而言,使本发明的有机硅氧烷类绝缘膜的下层与该绝缘膜相接,也可以形成相对介电常数比该绝缘膜低的其他绝缘膜(以下简称为低介电常数绝缘膜)。
例如,在形成第2绝缘膜的工序中,用式(1)表示的烷基烷氧基硅烷以及非氧化性气体作为原料气体,通过等离子体CVD法在大于等于650Pa的压力下成膜直至达到规定膜厚,然后改变压力至小于等于500Pa进一步成膜。由此,在下层和上层成为这样的绝缘膜:含有硅、碳、氧,碳原子数与硅原子数之比大于等于0.5小于等于1.0,其中,下层绝缘膜的相对介电常数小于等于2.8、硬度小于等于1.8GPa,上层绝缘膜的相对介电常数小于等于3.1硬度大于等于2.7GPa(图1)。在这种情况下,为了降低相邻布线间的电容,优选下层绝缘膜的相对介电常数小于等于2.6。
作为例3,在第一布线层上,以(CH3)4Si2O(OCH3)2为原料,将硬度3.0GPa的有机硅氧烷类绝缘膜形成为膜厚250nm。接着,在第二布线层上,将硬度0.9GPa的有机硅氧烷类绝缘膜形成为膜厚400nm,然后,将硬度3.0GPa的有机硅氧烷类绝缘膜形成为膜厚100nm。另外,原料气体可以与第1绝缘膜相同。同样地,第二布线层的上层布线层,也与第二布线层同样形成。
该情形如图13所示。图13与图12的不同点在于:第二布线层11上的第2绝缘膜3是由第1有机硅氧烷类绝缘膜3a和第2有机硅氧烷类绝缘膜3b组成的。另外,第一布线层10上的第2绝缘膜3,仅由第1有机硅氧烷类绝缘膜3a构成。这里,第1有机硅氧烷类绝缘膜3a为硬度3.0GPa的绝缘膜,第2有机硅氧烷类绝缘膜3b为硬度0.9GPa的绝缘膜。变质层3’仅形成在暴露于还原性等离子体的第1有机硅氧烷类绝缘膜3a的表面上。而且,在第二布线层11的更上层形成的布线层(未图示),也可以与第二布线层具有相同的结构。
根据图13的结构,与布线槽13对应的通孔12设于作为低介电常数绝缘膜的第2有机硅氧烷类绝缘膜3b的一部分上。此外,布线槽13设于第2有机硅氧烷类绝缘膜3b的一部分以及第1有机硅氧烷类绝缘膜3a上。并且,在布线槽13和通孔12中填充铜层9而形成第二布线11。通过这样的结构,能够收到如下所述降低相邻布线间电容的效果。
根据图1,硬度3.0GPa下的相对介电常数为2.93,硬度0.9GPa下的相对介电常数2.52。因此,通过如上所述设定膜厚,与仅由单一硬度的有机硅氧烷类绝缘膜形成各布线层的情况相比,能够实现低10%左右的寄生电容。另外,这种情况下,以(CH3)4Si2O(OCH3)2代替(CH3)2Si(OCH3)2也是一样的。
此外,作为例4,在第一布线层上,以(CH3)4Si2O(OCH3)2为原料,将硬度3.0GPa的有机硅氧烷类绝缘膜形成为膜厚250nm。接着,在第二布线层上,将硬度3.0GPa的有机硅氧烷类绝缘膜形成为膜厚200nm,然后,将硬度0.9GPa的有机硅氧烷类绝缘膜形成为膜厚200nm,进而,将硬度3.0GPa的有机硅氧烷类绝缘膜形成为膜厚100nm。另外,原料气体可以与第1绝缘膜相同。同样地,第二布线层的上层布线层,也与第二布线层同样地形成。由此,与各布线层仅由单一硬度的有机硅氧烷类绝缘膜形成的情况相比,能够实现低5%左右的寄生电容。另外,这种情况下,以(CH3)4Si2O(OCH3)2代替(CH3)2Si(OCH3)2也是一样的。
该情形如图14所示。图14与图13的不同点在于:第二布线层11上的第2绝缘膜3,是由第1有机硅氧烷类绝缘膜3a和第2有机硅氧烷类绝缘膜3b以及第1有机硅氧烷类绝缘膜3a的三层结构形成的。这里,第1有机硅氧烷类绝缘膜3a为硬度3.0GPa的绝缘膜,第2有机硅氧烷类绝缘膜3b为硬度0.9GPa的绝缘膜。第一布线层10上的第2绝缘膜3,仅由第1有机硅氧烷类绝缘膜3a构成。另外,变质层3’仅形成在暴露于还原性等离子体的第1有机硅氧烷类绝缘膜3a的表面上。另外,在第二布线层11的更上层形成的布线层(未图示),也可以与第二布线层具有相同的结构。
根据图14的结构,与布线槽13对应的通孔12设于第1有机硅氧烷类绝缘膜3a上。并且,布线槽13设于作为低介电常数绝缘膜的第2有机硅氧烷类绝缘膜3b以及第1有机硅氧烷类绝缘膜3a上。并且,在布线槽13和通孔12中填充铜层9而形成第二布线11。通过这样的结构,能够收到如下所述抑制电阻值的上升同时降低相邻布线间电容的效果。
根据上述例1~例4,制造具有5层布线结构的半导体装置。另外,例1和例2对应图12的结构。此外,例3对应图13的结构,例4对应图14的结构。而且,在200℃的温度下,进行500小时的应力迁移试验,研究试验前后的层间连接电阻变化,例4的半导体装置的电阻上升率与例1和例2的电阻上升率在同一程度上。另一方面,尽管例3的电阻上升率为例4的两倍左右,然而达到实用水平是没有问题的。
另外,本发明中,在下层布线上形成第1绝缘膜、第2绝缘膜、第3绝缘膜以及第4绝缘膜之后,对这些绝缘膜进行干刻蚀而形成直到下层布线的开口部,在开口部内部和第4绝缘膜上形成阻挡层金属膜和导电层,除开口部内部之外,对导电层、阻挡层金属膜、第4绝缘膜以及第3绝缘膜的一部分通过化学机械研磨法除去,形成与下层布线电连接的上层布线。此时,在露出的第3绝缘膜和导电层的表面上进行还原性等离子体处理。另外,第1绝缘膜为阻挡层绝缘膜,第3绝缘膜为本发明的有机硅氧烷类绝缘膜,第4绝缘膜为SiO2膜。
另外,形成第2绝缘膜的工序是形成相对介电常数比第3绝缘膜小的绝缘膜的工序。即,第2绝缘膜可以是相对介电常数比第3绝缘膜小的绝缘膜,也不限于有机硅氧烷类绝缘膜。另一方面,形成第3绝缘膜的工序中,使用式(1)表示的烷基烷氧基硅烷以及非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下成膜。由此得到硬度大于等于2.7GPa的第3绝缘膜。另外,通过使第3绝缘膜的硬度大于等于3.0GPa,从而使变质层的膜质更加优良。这种情况下,优选成膜时的压力小于等于470Pa。
根据该方法,在图14中,得到将第2有机硅氧烷类绝缘膜3b变为第2绝缘膜的结构。因而能够使通过还原性等离子体处理而形成的变质层的膜质致密,所以避免了半导体装置的电特性的降低。此外,与布线层仅由单一的有机硅氧烷类绝缘膜形成的情况相比,能够实现较低的寄生电容。

Claims (11)

1.一种半导体装置的制造方法,该半导体装置具有多层布线结构,所述制造方法其特征在于:
具有以下工序:
在半导体衬底上形成的下层布线上形成第1绝缘膜;
在所述第1绝缘膜上形成第2绝缘膜;
在所述第2绝缘膜上形成第3绝缘膜;
对所述第3绝缘膜、所述第2绝缘膜以及所述第1绝缘膜进行干刻蚀,形成直到所述下层布线的开口部;
在所述开口部的内面以及所述第3绝缘膜上形成阻挡层金属膜;
以埋入所述开口部的方式在所述阻挡层金属膜上形成导电层;
对所述第3绝缘膜上的所述导电层、所述第3绝缘膜上的所述阻挡层金属膜、所述第3绝缘膜以及所述第2绝缘膜的一部分通过化学机械研磨法除去,形成与所述下层布线电连接的上层布线;以及
对露出的所述第2绝缘膜和所述导电层的表面进行还原性等离子体处理,
形成所述第2绝缘膜的工序是下述这样的工序:使用下式所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下进行成膜,
RwSixOy(OR’)z
其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,w/x=2。
2.一种半导体装置的制造方法,该半导体装置具有多层布线结构,所述制造方法其特征在于:
具有以下工序:
在半导体衬底上形成的下层布线上形成第1绝缘膜;
在所述第1绝缘膜上形成第2绝缘膜;
在所述第2绝缘膜上形成第3绝缘膜;
对所述第3绝缘膜、所述第2绝缘膜以及所述第1绝缘膜进行干刻蚀,形成直到所述下层布线的开口部;
在所述开口部的内面以及所述第3绝缘膜上形成阻挡层金属膜;
以埋入所述开口部的方式在所述阻挡层金属膜上形成导电层;
对所述第3绝缘膜上的所述导电层、所述第3绝缘膜上的所述阻挡层金属膜、所述第3绝缘膜以及所述第2绝缘膜的一部分通过化学机械研磨法除去,形成与所述下层布线电连接的上层布线;以及
对露出的所述第2绝缘膜和所述导电层的表面进行还原性等离子体处理,
形成所述第2绝缘膜的工序是下述这样的工序:以下式所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在大于等于650Pa的压力下进行成膜直至达到规定膜厚,而后将压力变为小于等于500Pa进一步地进行成膜,
RwSixOy(OR’)z
其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,w/x=2。
3.一种半导体装置的制造方法,该半导体装置具有多层布线结构,所述制造方法其特征在于:
具有以下工序:
在半导体衬底上形成的下层布线上形成第1绝缘膜;
在所述第1绝缘膜上形成第2绝缘膜;
在所述第2绝缘膜上形成第3绝缘膜;
在所述第3绝缘膜上形成第4绝缘膜;
对所述第4绝缘膜、所述第3绝缘膜、所述第2绝缘膜以及所述第1绝缘膜进行干刻蚀,形成直到所述下层布线的开口部;
在所述开口部的内面以及所述第4绝缘膜上形成阻挡层金属膜;
以埋入所述开口部的方式在所述阻挡层金属膜上形成导电层;
对所述第4绝缘膜上的所述导电层、所述第4绝缘膜上的所述阻挡层金属膜、所述第4绝缘膜以及所述第3绝缘膜的一部分通过化学机械研磨法除去,形成与所述下层布线电连接的上层布线;以及
对露出的所述第3绝缘膜和所述导电层的表面进行还原性等离子体处理,
形成所述第2绝缘膜的工序是形成相对介电常数比所述第3绝缘膜低的绝缘膜的工序,
形成所述第3绝缘膜的工序是下述这样的工序:使用下式所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下进行成膜,
RwSixOy(OR’)z
其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,w/x=2。
4.如权利要求1~3的任一项所述的半导体装置的制造方法,所述非氧化性气体是从氦气、氩气和氮气构成的组中选出的至少一种气体。
5.如权利要求1~3的任一项所述的半导体装置的制造方法,所述还原性等离子体处理是暴露于含氨和氢的至少一种的等离子体中的工序。
6.一种半导体装置的制造方法,该半导体装置具有多层布线结构,所述制造方法其特征在于:
具有以下工序:
在半导体衬底上形成的下层布线上形成第1绝缘膜;
在所述第1绝缘膜上形成第2绝缘膜;
对所述第2绝缘膜以及所述第1绝缘膜进行干刻蚀,形成直到所述下层布线的开口部;
在所述开口部的内面以及所述第2绝缘膜上形成阻挡层金属膜;
以埋入所述开口部的方式在所述阻挡层金属膜上形成导电层;
对所述第2绝缘膜上的所述导电层、所述第2绝缘膜上的所述阻挡层金属膜、以及所述第2绝缘膜的一部分通过化学机械研磨法除去,形成与所述下层布线电连接的上层布线;以及
对露出的所述第2绝缘膜和所述导电层的表面进行还原性等离子体处理,
形成所述第2绝缘膜的工序是下述这样的工序:使用下式所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下进行成膜,
RwSixOy(OR’)z
其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,w/x=2。
7.一种半导体装置的制造方法,该半导体装置具有多层布线结构,所述制造方法其特征在于:
具有以下工序:
在半导体衬底上形成的下层布线上形成第1绝缘膜;
在所述第1绝缘膜上形成第2绝缘膜;
对所述第2绝缘膜以及所述第1绝缘膜进行干刻蚀,形成直到所述下层布线的开口部;
在所述开口部的内面以及所述第2绝缘膜上形成阻挡层金属膜;
以埋入所述开口部的方式在所述阻挡层金属膜上形成导电层;
对所述第2绝缘膜上的所述导电层、所述第2绝缘膜上的所述阻挡层金属膜、以及所述第2绝缘膜的一部分通过化学机械研磨法除去,形成与所述下层布线电连接的上层布线;以及
对露出的所述第2绝缘膜和所述导电层的表面进行还原性等离子体处理,
形成所述第2绝缘膜的工序是下述这样的工序:以下式所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在大于等于650Pa的压力下进行成膜直至达到规定膜厚,而后将压力变为小于等于500Pa进一步地进行成膜,
RwSixOy(OR’)z
其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,w/x=2。
8.一种半导体装置的制造方法,该半导体装置具有多层布线结构,所述制造方法其特征在于:
具有以下工序:
在半导体衬底上形成的下层布线上形成第1绝缘膜;
在所述第1绝缘膜上形成第2绝缘膜;
在所述第2绝缘膜上形成第3绝缘膜;
对所述第3绝缘膜、所述第2绝缘膜以及所述第1绝缘膜进行干刻蚀,形成直到所述下层布线的开口部;
在所述开口部的内面以及所述第3绝缘膜上形成阻挡层金属膜;
以埋入所述开口部的方式在所述阻挡层金属膜上形成导电层;
对所述第3绝缘膜上的所述导电层、所述第3绝缘膜上的所述阻挡层金属膜、以及所述第3绝缘膜的一部分通过化学机械研磨法除去,形成与所述下层布线电连接的上层布线;以及
对露出的所述第3绝缘膜和所述导电层的表面进行还原性等离子体处理,
形成所述第2绝缘膜的工序是形成相对介电常数比所述第3绝缘膜低的绝缘膜的工序,
形成所述第3绝缘膜的工序是下述这样的工序:使用下式所表示的烷基烷氧基硅烷和非氧化性气体作为原料气体,通过等离子体CVD法在小于等于500Pa的压力下进行成膜,
RwSixOy(OR’)z
其中,R和R’为CH3,w、x、z为正整数,y是0或正整数,w/x=2。
9.如权利要求6~8的任一项所述的半导体装置的制造方法,所述非氧化性气体是从氦气、氩气和氮气构成的组中选出的至少一种气体。
10.如权利要求6~8的任一项所述的半导体装置的制造方法,所述还原性等离子体处理是暴露于含氨和氢的至少一种的等离子体中的工序。
11.如权利要求6或7所述的半导体装置的制造方法,在形成所述第2绝缘膜的工序与形成所述开口部的工序之间,还具有在所述第2绝缘膜上形成第3绝缘膜的工序。
CN2008101454383A 2004-07-06 2005-07-06 半导体装置的制造方法 Active CN101330045B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004199709A JP4854938B2 (ja) 2004-07-06 2004-07-06 半導体装置およびその製造方法
JP2004-199709 2004-07-06
JP2004199709 2004-07-06

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100825221A Division CN100539116C (zh) 2004-07-06 2005-07-06 半导体装置及其制造方法

Publications (2)

Publication Number Publication Date
CN101330045A true CN101330045A (zh) 2008-12-24
CN101330045B CN101330045B (zh) 2010-08-11

Family

ID=35540448

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008101454383A Active CN101330045B (zh) 2004-07-06 2005-07-06 半导体装置的制造方法
CNB2005100825221A Active CN100539116C (zh) 2004-07-06 2005-07-06 半导体装置及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB2005100825221A Active CN100539116C (zh) 2004-07-06 2005-07-06 半导体装置及其制造方法

Country Status (5)

Country Link
US (2) US7602063B2 (zh)
JP (1) JP4854938B2 (zh)
KR (1) KR101139034B1 (zh)
CN (2) CN101330045B (zh)
TW (1) TWI413212B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006126536A1 (ja) * 2005-05-25 2006-11-30 Nec Corporation 半導体装置及びその製造方法
JP5060037B2 (ja) * 2005-10-07 2012-10-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US8043957B2 (en) 2006-05-17 2011-10-25 Nec Corporation Semiconductor device, method for manufacturing semiconductor device and apparatus for manufacturing semiconductor
KR100829385B1 (ko) * 2006-11-27 2008-05-13 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
JP2011249678A (ja) * 2010-05-28 2011-12-08 Elpida Memory Inc 半導体装置及びその製造方法
JP5972679B2 (ja) * 2012-06-18 2016-08-17 東海旅客鉄道株式会社 炭素含有酸化ケイ素膜の製造方法
US10755995B2 (en) 2018-06-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control of semiconductor die
JP2022037944A (ja) * 2018-12-28 2022-03-10 日産化学株式会社 水素ガスを用いた前処理によるレジスト下層膜のエッチング耐性を向上する方法
US11410879B2 (en) * 2020-04-07 2022-08-09 International Business Machines Corporation Subtractive back-end-of-line vias

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3726226B2 (ja) * 1998-02-05 2005-12-14 日本エー・エス・エム株式会社 絶縁膜及びその製造方法
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
JP3078811B1 (ja) * 1998-03-26 2000-08-21 松下電器産業株式会社 配線構造体の形成方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
JP3727818B2 (ja) 1999-03-19 2005-12-21 株式会社東芝 半導体装置の配線構造及びその形成方法
JP3197007B2 (ja) 1999-06-08 2001-08-13 日本エー・エス・エム株式会社 半導体基板上のシリコン重合体絶縁膜及びその膜を形成する方法
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP3615979B2 (ja) 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2001338978A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP2003031580A (ja) * 2001-07-18 2003-01-31 Toshiba Corp 半導体装置の製造方法
JP3545364B2 (ja) * 2000-12-19 2004-07-21 キヤノン販売株式会社 半導体装置及びその製造方法
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
KR100926722B1 (ko) 2001-04-06 2009-11-16 에이에스엠 저펜 가부시기가이샤 반도체 기판상의 실록산 중합체막 및 그 제조방법
JP3924501B2 (ja) * 2001-06-25 2007-06-06 Necエレクトロニクス株式会社 集積回路装置の製造方法
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP4177993B2 (ja) * 2002-04-18 2008-11-05 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2004023030A (ja) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6740602B1 (en) 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power

Also Published As

Publication number Publication date
US7960279B2 (en) 2011-06-14
CN100539116C (zh) 2009-09-09
TW200608519A (en) 2006-03-01
JP4854938B2 (ja) 2012-01-18
CN101330045B (zh) 2010-08-11
KR101139034B1 (ko) 2012-04-30
US7602063B2 (en) 2009-10-13
US20090263963A1 (en) 2009-10-22
TWI413212B (zh) 2013-10-21
KR20060049890A (ko) 2006-05-19
CN1728375A (zh) 2006-02-01
US20060006530A1 (en) 2006-01-12
JP2006024641A (ja) 2006-01-26

Similar Documents

Publication Publication Date Title
CN101330045B (zh) 半导体装置的制造方法
JP4090740B2 (ja) 集積回路の作製方法および集積回路
US6656840B2 (en) Method for forming silicon containing layers on a substrate
TW432476B (en) A silicon carbide deposition for use as a barrier layer and an etch stop
US7271093B2 (en) Low-carbon-doped silicon oxide film and damascene structure using same
KR101198107B1 (ko) 비결정 탄소막, 반도체 장치, 성막 방법, 성막 장치 및 기억 매체
US7088003B2 (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP3615979B2 (ja) 半導体装置及びその製造方法
KR101144535B1 (ko) 전구체 함유 질소를 사용한 유전 장벽 증착
GB2319891A (en) Low dielectric constant interlayer insulators
TW557478B (en) Semiconductor device and manufacturing method thereof
JP3193335B2 (ja) 半導体装置の製造方法
JP3173426B2 (ja) シリカ絶縁膜の製造方法及び半導体装置の製造方法
JP4034227B2 (ja) 半導体装置の製造方法
TW200416881A (en) Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US6486077B2 (en) Silicon nitride film, semiconductor device, and method for fabricating semiconductor device
JP4684866B2 (ja) 半導体装置の製造方法
US20050095828A1 (en) Process for sealing plasma-damaged, porous low-k materials
US7541296B2 (en) Method for forming insulating film, method for forming multilayer structure and method for manufacturing semiconductor device
US6171947B1 (en) Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
TW413899B (en) Manufacturing process of unlanded via
JP2011233925A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: RENESAS ELECTRONICS

Free format text: FORMER OWNER: RENESAS TECHNOLOGY CORP.

Effective date: 20100920

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: TOKYO, JAPAN TO: KAWASAKI CITY, KANAGAWA PREFECTURE, JAPAN

TR01 Transfer of patent right

Effective date of registration: 20100920

Address after: Kawasaki, Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Tokyo, Japan, Japan

Patentee before: Renesas Technology Corp.

CP02 Change in the address of a patent holder
CP02 Change in the address of a patent holder

Address after: Tokyo, Japan, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kawasaki, Kanagawa, Japan

Patentee before: Renesas Electronics Corporation