CN101194344A - 用于预金属和/或浅槽隔离应用中所用的旋涂介电材料的uv固化方法 - Google Patents

用于预金属和/或浅槽隔离应用中所用的旋涂介电材料的uv固化方法 Download PDF

Info

Publication number
CN101194344A
CN101194344A CNA2005800500603A CN200580050060A CN101194344A CN 101194344 A CN101194344 A CN 101194344A CN A2005800500603 A CNA2005800500603 A CN A2005800500603A CN 200580050060 A CN200580050060 A CN 200580050060A CN 101194344 A CN101194344 A CN 101194344A
Authority
CN
China
Prior art keywords
dielectric material
exposed
metal
ultra
violet radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800500603A
Other languages
English (en)
Inventor
C·沃尔弗里德
O·埃斯科尔恰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN101194344A publication Critical patent/CN101194344A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Element Separation (AREA)

Abstract

一种用于预金属和浅槽隔离应用中所用的介电材料的紫外固化方法,包括:涂布合适的介电材料到底物上;和使介电材料暴露于有效量的紫外辐射以降低介电材料的有机物含量和/或增加介电材料的密度和/或增加介电材料的耐湿法蚀刻性能。任选地,紫外固化的介电材料可暴露于多种紫外辐射模式。

Description

用于预金属和/或浅槽隔离应用中所用的旋涂介电材料的UV固化方法
相关申请的交叉引用
本申请涉及提交于2004年6月7日的美国临时申请60/577,679且要求对其的优先权,在此全文引入该申请作为参考。
背景
本发明一般来说涉及半导体器件中的介电薄膜,更具体地,涉及用于预金属(pre-metal)和浅槽隔离(shallow trench isolation)应用的旋涂(spin-on)低k介电薄膜的紫外(UV)固化方法。
在先进的半导体制造领域中,器件的尺寸和形成的间距持续被降低,以提高集成电路性能。制造经常要求将介电材料沉积到特征中,所述特征被图案化于硅底物上的材料层中。在大多数情况下,介电材料完全填充这些特征且不形成任何空穴是重要的。填充这种窄小的特征,其也被称为间隙填充,对所用材料,例如用于预金属介电(PMD)或者浅槽隔离(STI)应用的介电材料,提出了严格的要求。集成电路上的预金属介电层使结构与金属互连层电绝缘,并且使其与降低电性能的污染移动离子电绝缘。根据2003年版的International Technology Roadmap forSemiconductors,在2005年对于DRAM器件,要求被预金属介电材料填充的纵横比(aspect ratio)可高达16∶1,转化成深度为大于300纳米(nm)。在间隙填充以后,介电材料需要能够承受后续的加工步骤,例如高温退火、蚀刻和清洁步骤。
用于PMD和STI应用的介电材料通常通过化学气相沉积或旋涂方法来沉积。这些方法的每一种对于填充非常窄小的缝隙都有某些局限性,而这需要被克服以便成功集成。旋涂玻璃和旋涂聚合物例如硅酸盐、硅氧烷、硅氮烷或硅倍半氧烷通常具有好的间隙填充性能。这些材料的薄膜典型地通过施涂包含聚合物的涂层溶液然后热固化方法形成。通常进行热固化方法以完成化学键的形成、去除残余成分的气体和降低薄膜的介电常数。这种固化方法通常在炉中使用间歇方式进行或利用单晶片方式在加热板上进行。在任何一种情况下,传统的固化方法不期望地使晶片受到升高的温度达一段延长的时间(例如超过一个小时至数个小时并且处于高于约300℃的温度)。这些温度可能超过要求制造商满足的可允许的热预算。而且,可包括超过800℃的加工温度的热固化方法能引起收缩。大量收缩能导致不可接受的薄膜破裂和/或多孔材料的形成,尤其是在窄间隙里面。破裂或多孔材料在接下来的方法步骤中可具不期望的高湿法蚀刻率。
在涉及旋涂预金属介电材料的现有技术中,至少因为提到的这些问题,将期望实施替代的低k预金属介电材料固化方法,其使收缩最小化且提供改善的耐湿法蚀刻性能。更加期望的是获得具有成功集成所期望性能的旋涂预金属电介质。
简述
本文所公开的是用于UV固化涂布到底物表面上的旋涂预金属介电材料的方法。在一个实施方案中,用于预金属和浅槽隔离应用中所用的介电材料的UV固化方法包括涂布介电材料到底物上;和使介电材料暴露于有效量的紫外辐射以降低介电材料中的有机物含量。
在另一个实施方案中,用于预金属和浅槽隔离应用中所用的介电材料的UV固化方法包括涂布介电材料到底物上;和使介电材料暴露于有效量的紫外辐射以增加介电材料的密度。
在另一个实施方案中,用于预金属和浅槽隔离应用中所用的介电材料的UV固化方法包括涂布介电材料到底物上;和使介电材料暴露于有效量的紫外辐射以增加介电材料的耐湿法蚀刻性能,其中相对于暴露之前的介电材料的湿法蚀刻率,耐湿法蚀刻性能提高。
在又一个实施方案中,用于固化涂布到底物表面上的旋涂预金属介电材料的方法包括涂布旋涂预金属介电材料到底物上;使旋涂预金属介电材料暴露于第一紫外辐射模式(ultraviolet radiation pattern)达一段时间和强度以有效增加预金属介电材料的弹性模量性能和/或硬度性能;和使旋涂预金属介电材料暴露于第二紫外辐射模式达一段时间和强度以有效进一步地增加预金属介电材料的弹性模量性能和/或硬度性能,其中第一和第二紫外辐射模式不同。
上述的和其他的特点由以下的附图和详细说明举例说明。
附图简述
现在参看附图,其为示范性实施方案且其中相同的元件以相同的附图标记表示:
图1图示了各个I型无电极微波驱动灯泡的宽带光谱输出,该灯泡来自Axcelis Technologies,Inc.,其适用于UV固化介电材料;
图2图示了各个II型无电极微波驱动灯泡的宽带光谱输出,该灯泡适用于UV固化介电材料;
图3图示了根据一个实施方案未固化和UV固化的预金属介电薄膜的FTIR光谱;
图4-5是说明相对于化学气相沉积法沉积的TEOS介电材料,在不同稀释的氢氟酸溶液(DHF)中非UV固化和UV固化的旋涂低k介电材料的耐湿法蚀刻性能的图表;
图6-7是说明相对于化学气相沉积法沉积的TEOS介电材料,在不同稀释的氢氟酸溶液(DHF)中非UV固化和UV固化的旋涂低k介电材料的耐湿法蚀刻性能随时间变化的图表;
图8是说明UV暴露之前和之后旋涂预金属低k介电材料的介电常数的图表,其中工艺室(process chamber)使用了不同的惰性气体;和
图9是说明UV暴露之前和之后旋涂预金属低k介电材料的击穿电压(breakdown voltage)的图表,其中工艺室使用了不同的惰性气体。
详述
本发明涉及用于旋涂预金属介电材料的UV固化方法。如本文所用的,提到的术语“预金属”介电材料意指包括浅槽介电材料应用,因为这些介电材料通常是相同的并且为间隙填充而优化。应用本文描述的UV固化方法对PMD和STI应用来说将具有相似的优势。UV固化方法通常包括在集成电路中沉积任何金属层之前旋转涂布预金属介电材料到合适的底物上,并使介电材料在低于约450℃的温度下暴露于具有一个或多个大于150纳米至小于400纳米波长的紫外辐射。
尽管不想受理论约束,据信UV固化方法去除了可能已在旋涂预金属介电材料中形成的类似有机物的杂质或部分。术语旋涂(spin-on)介电材料,如本文所用的,包括但不意味局限于硅酸盐、氢硅倍半氧烷、有机硅倍半氧烷、有机硅氧烷、有机氢化硅氧烷(organhydridosiloxane)、硅倍半氧烷-硅酸盐共聚物、硅氮烷基材料、聚碳硅烷(polycarbosilane)和乙酸基硅烷(acetoxysilane)。UV固化方法去除和/或化学改性了介电材料的一部分。例如,根据具体的旋涂预金属介电材料,减少了在涂布的介电材料中,尤其,Si-H、Si-CH3、SixCyHz的量,其中x、y、z通常是0-12之间的整数,条件是x=1且y+z等于或大于1。结果,UV固化方法有利地增加介电材料的密度和/或降低有机物含量,和/或增加介电材料的耐湿法蚀刻性能。
本文描述的用于形成旋涂预金属介电材料的单体、单体混合物和聚合物能够并且以多种方式被设计成被溶剂化或溶解于任何合适的溶剂,只要产生的溶液可以旋转涂布或机械铺设在底物、晶片或层状材料上即可。优选的溶液被设计且预期以被旋转涂布、辊涂、滴涂(drip)或喷洒在晶片、底物或层状材料上。最优选的溶液被设计为旋转涂布在晶片、底物或层状材料上。典型的溶剂是介电材料、层状元件或电子元件领域的技术人员易于获得的那些溶剂。
典型的溶剂也是能使单体、同分异构单体混合物和聚合物溶剂化的溶剂。预期的溶剂包括任何合适的在期望温度挥发的有机、有机金属或无机分子的纯物质或混合物。溶剂也可包括任何合适的极性和非极性化合物的纯物质或混合物。在优选的实施方案中,溶剂包括水、乙醇、丙醇、丙酮、甲苯、醚、环己酮、丁内酯、甲基乙基酮、甲基异丁基酮、N-甲基吡咯烷酮、聚乙二醇甲醚、均三甲基苯和苯甲醚。
在一个实施方案中,UV固化方法包括旋转涂布合适的预金属介电材料到底物上;和使预金属介电材料在低于约450℃的温度暴露于紫外辐射模式达一段时间以有效地在介电材料中增加密度和/或增加耐湿法蚀刻性能和/或降低有机物含量。本领域周知的,涂布旋涂介电材料有很多方法,所有周知的方法都认为是合适的。本文预期的合适的底物可包括任何期望的基本上实心的(solid)材料,对其来说,包括旋涂介电材料在内的预金属介电或浅槽隔离结构可能是所期望的。例如,合适的底物包括但不局限于硅、二氧化硅、玻璃、氮化硅、陶瓷和砷化镓。术语底物还通常指任何平面化的或具有构形(topography)的层,包括半导体晶片、介电层、栅、隔离层、蚀刻停止层和集成电路器件中存在的金属线。
任选地,退火过程可在UV固化方法之后使用。退火过程可包括使含有UV固化的预金属介电材料的底物暴露于升高的温度达一段时间以有效地在介电材料中增加密度和/或增加耐湿法蚀刻性能和/或降低有机物含量。例如,退火温度可高达约1100℃达约2小时或更短。
如前所述,已经发现,所得的UV固化的预金属介电材料对后续的如在集成电路制造过程中通常使用的湿法化学处理方法是更稳定的。例如,光刻(lithography)后,可使用湿法蚀刻方法以选择性地去除底物和/或沉积层的部分。典型地,底物浸没在剥离剂如稀释的含水氢氟酸浴中。其他湿法剥离剂包括酸、碱和本领域技术人员周知的溶剂。所用的具体的湿法剥离剂为本领域技术人员所理解。例如,硝酸、硫酸、氨水、氢氟酸是通常使用的湿法剥离剂。在操作中,湿法剥离剂以浸没、搅混、流淌、喷洒等方式作用于底物上,然后用去离子水漂洗。如同将在下文中更加详细讨论的那样,相对于未暴露到UV固化方法的相同材料,UV固化的旋涂介电材料提高了耐湿法蚀刻性能。
在UV固化方法中,使用UV辐照器工具。合适的UV辐照器工具是RapidCureTM工具,由Axcelis Technologies,Incorporated商业化可得。在使用过程中,光源室可以被惰性气体如氮、氦或氩吹扫,使得UV辐射以最小的光谱吸收进入相邻的工艺室。预金属介电材料置于被单独吹扫的工艺室内,且在不同的应用中可使用工艺气体如N2、H2、Ar、He、Ne、H2O蒸气、COz、Oz、CxHy、CxFy、CxHzFy及其混合物,其中x是1-6的整数,y是4-14的整数,z是1-3的整数。在这点上,UV固化可在真空条件下发生,或在氧气不存在的情况下,或在氧化气体下发生。在一个实施方案中,工艺室由氢气和氦气吹扫。
UV光源可以是微波驱动、弧光放电、电介质隔离放电(dielectricbarrier discharge)或电子碰撞产生的。而且,可以根据应用选择具有不同光谱分布的UV发生灯泡如,例如,被称为I型或II型的微波无电极灯泡,其可由Axcelis Technologies(Beverly,MA)获得。由I型和II型灯泡获得的光谱以及适用于UV固化方法的光谱分别在图1和2中给出。
底物(晶片)温度可控制为室温至450℃,任选地被红外光源、光学光源、热表面或光源本身控制。工艺压力可小于、大于或等于大气压。典型地,对UV固化的介电材料进行UV处理不超过或约为600秒,且优选地不超过约300秒,更具体地,在约60和约180秒之间。同时,UV处理介电材料可在如下条件下进行:温度为约室温至约450℃;过程压力为小于、大于或约等于大气压;UV能量为约0.1-约2000mW/cm2;UV波长谱为约100-约400nm。
本发明由下面的非限制性实施例进一步阐述。
实施例1
预金属介电材料的耐湿法蚀刻性能
在本实施例中,获自Honeywell Company的名为HoneywellElectronic Material A(HEMA)的预金属介电材料被旋转涂布在裸硅晶片上。根据厂商的推荐,晶片经过一个传统的旋涂方法。每个晶片经历完全一样的处理。经涂布的晶片在425℃暴露于UV固化方法5分钟。UV固化方法在Rapid Cure Exposure工具中使用多种微波无电极灯泡,上述工具自Axcelis Technologies,Incorporated商业化可得。图3中显示的FTIR数据没有显示低k介电材料在UV固化之后任何可探测的吸收变化。然后使UV固化晶片暴露于湿法蚀刻方法,其包括浸没该晶片于40∶1和100∶1稀释的氢氟酸水溶液2分钟、5分钟和10分钟。上述的比例代表水与氢氟酸的重量比。结果显示在图4、5中且相对于使用等离子增强化学气相沉积法(PECVD)沉积的四原硅酸盐(TEOS,tetraorthosilicate)薄膜给出,后者以其耐湿法蚀刻性能众所周知,但不适合用作预金属介电材料用于高级设计规则,如小于90纳米。
如图所示,UV固化方法明显降低了预金属介电材料在40∶1氢氟酸溶液中的耐湿法蚀刻性能。未固化材料的蚀刻率约为820埃/分钟,其降低到约350埃/分钟,取决于预金属介电材料的组成。时间变量具有非常小的影响。比较结果在更加稀释的HF溶液(100∶1)中观察到。然而,结果从视觉上看不很明显,因为稀释导致观察到相对弱的蚀刻行为。
实施例2
基于HEMA的旋涂介电材料的耐湿法蚀刻性能
在本实施例中,如同实施例1中的那样,HEMA预金属旋涂介电材料被旋转涂布到空白晶片上。另外,由Honeywell Corporation可得的称为NGX的纳米玻璃旋涂介电材料被旋转涂布到空白晶片上。晶片在惰性气体混合物中在425℃暴露于使用III型无电极灯泡的Rapidcure工具中产生的UV辐射达10分钟。旋涂介电材料在后烘烤后以及在UV固化方法之后,测量厚度和折射率(RI)。有些晶片进一步在900℃或1000℃暴露于炉退火过程达1小时。基于UV固化方法和退火(如果可应用的话)前后的厚度计算收缩百分数。在本实施例中,晶片组1指HEMA旋涂介电材料,晶片组2和3指旋涂NGX低k介电材料,其中每个晶片组代表三个加工的晶片的平均值。数据在表1中给出。
表1
    晶片组号   炉退火     后烘烤[PB]厚度(nm)   PB-RI   后固化[PC]厚度(nm)   PC-RI   收缩(%)   后退火[PA]厚度(nm)   PA-RI     收缩(%)
    1   无     5789   1.49   5756   1.5   0.57
    2   900℃     7804   1.41   7510   1.40   3.77   5826   1.50     22.42
    3   1000℃     7788   1.41   7549   1.40   3.07   5623   1.50     25.51
结果表明,UV固化方法显示出很小的收缩和很小的折射率变化。然而,后退火过程确实引起薄膜密度增加和/或损失以及折射率的增加。从FTIR数据得到的与介电材料关联的相关峰在表2中给出。PB指旋涂和后烘烤过程之后的介电材料;PC指UV固化之后的PB介电材料;PA指在PB和PC和暴露于炉退火过程之后的介电材料。
表2
 晶片组号  炉退火(℃) OH/SiO  SiC/SiO  CH/SiO  C+C/SiO
PB  PC  PA  PB  PC  PA  PB  PC  PA  PB  PC  PA
 1  无 0.06  0.103  0  0  0  0  0  0.0156  0.0071
 2  900 0.026  0  0.0606  0.031  0.028  0  0.008  0.008  0  0  0  0.0159
 3  1000 0.028  0  0.1131  0.031  0.029  0  0.008  0.009  0  0  0  0.0181
FTIR数据表明UV固化方法导致C=C峰的减少,且展示了对预金属介电材料的Si-OH含量很小的影响。
实施例3
在本实施例中,如同实施例1一样,介电常数和击穿电压在UV固化方法前后被测量。称为HEMA(m1)、(m2)和(m3)的旋涂低k介电材料按照制造商针对具体的低k介电材料推荐的那样以传统的旋转涂布方法被涂布。结果在下列表3中给出。
表3
        HEMA(m1)        HEMA(m2)     HEMA(m3)
UV固化前 UV固化后  UV固化前  UV固化后  UV固化前  UV固化后
介电常数 7.84  6.91  6.27  6.19  7.6  6.7
击穿电压 0.58  1.88  1.99  2.04  1.24  2.27
每个例子中,使旋涂介电材料暴露于UV固化方法有利地降低了介电常数。随同介电常数的降低,观察到伴随着击穿电压的增加。
实施例4
在本实施例中,观察工艺室中使用不同的吹扫气体产生的影响。晶片按照实施例1中那样被处理。NR(I)指用氦作为惰性气体而NR(2)指使用氢/氦气体混合物。如图6、7所示,UV固化方法显著地提高了在40∶1和100∶1的稀释氢氟酸溶液中的耐湿法蚀刻性能。在某些例子中,耐湿法蚀刻性能比TEOS PECVD沉积薄膜更优异。图8和9图示了各个薄膜的介电常数和击穿电压。UV固化方法显著改善介电常数和击穿电压。
虽然本公开内容参照示例性实施方案进行了描述,但本领域的技术人员将理解,不脱离本公开内容的范围可以进行多种变化,且其要素可被等价物替代。另外,不脱离本公开内容的范围,为了使具体的情况或材料适于本公开的教导,可以做很多修改。因此,意图是本公开内容不局限于作为最好模式的、预期用来实施本公开内容的具体实施方案,而是本公开内容将包括落在所附权利要求范围内的所有实施方案。

Claims (24)

1.一种用于预金属和浅槽隔离应用中所用的介电材料的紫外固化方法,包括:
涂布介电材料到底物上;和
使所述介电材料暴露于有效量的紫外辐射,以降低所述介电材料中有机物的含量。
2.权利要求1的方法,其中使所述介电材料暴露于紫外辐射包括在介电材料周围形成一种环境,其中该环境包括N2、H2、Ar、He、Ne、H2O蒸气、COz、Oz、CxHy、CxFy、CxHzFy和其混合物,其中x是1-6的整数,y是4-14的整数,z是1-3的整数。
3.权利要求1的方法,其中所述紫外辐射模式包括大于150纳米至小于400纳米的波长。
4.权利要求1的方法,进一步包括在所述暴露期间加热底物。
5.权利要求1的方法,其中所述预金属介电材料包括氢硅倍半氧烷、烷基硅倍半氧烷、碳掺杂的氧化物、氢化碳氧化硅、乙阶聚合物、芳基环丁烯基聚合物、基于聚亚苯基的聚合物、聚亚芳醚、聚酰亚胺、多孔硅石和包括至少一种上述介电材料的结合。
6.权利要求1的方法,其中所述旋涂预金属介电材料在暴露于所述紫外辐射前后具有基本上相同的介电常数。
7.权利要求1的方法,其中在所述暴露过程中,预金属介电材料的弹性模量性能和/或硬度性能增加至少约50%。
8.权利要求1的方法,其中使所述旋涂预金属介电材料暴露于所述紫外辐射模式达一段时间和强度以有效降低介电常数。
9.权利要求1的方法,进一步包括:在使所述旋涂预金属介电材料暴露于紫外辐射模式后,使所述旋涂预金属介电材料暴露于炉固化过程或热位置固化过程。
10.一种用于预金属和浅槽隔离应用中所用的介电材料的紫外固化方法,包括:
涂布介电材料到底物上;和
使所述介电材料暴露于有效量的紫外辐射,以增加所述介电材料的密度。
11.权利要求10的方法,其中使所述介电材料暴露于紫外辐射包括在所述介电材料周围形成一种环境,其中该环境包括N2、H2、Ar、He、Ne、H2O蒸气、COz、Oz、CxHy、CxFy、CxHzFy和其混合物,其中x是1-6的整数,y是4-14的整数,z是1-3的整数。
12.权利要求10的方法,其中所述紫外辐射模式包括大于150纳米至小于400纳米的波长。
13.权利要求10的方法,进一步包括在暴露期间加热所述底物。
14.权利要求10的方法,其中所述预金属介电材料包括氢硅倍半氧烷、烷基硅倍半氧烷、碳掺杂的氧化物、氢化碳氧化硅、乙阶聚合物、芳基环丁烯基聚合物、基于聚亚苯基的聚合物、聚亚芳醚、聚酰亚胺、多孔硅石和包括至少一种上述介电材料的结合。
15.权利要求10的方法,其中所述旋涂预金属介电材料在暴露于紫外辐射前后具有基本上相同的介电常数。
16.权利要求10的方法,其中在暴露过程中,所述预金属介电材料的弹性模量性能和/或硬度性能增加至少约50%。
17.权利要求10的方法,其中使所述旋涂预金属介电材料暴露于紫外辐射模式达一段时间和强度以有效降低介电常数。
18.权利要求10的方法,进一步包括在使所述旋涂预金属介电材料暴露于紫外辐射模式之后,使所述旋涂预金属介电材料暴露于炉固化过程或热位置固化过程。
19.一种用于预金属和浅槽隔离应用中所用的介电材料的紫外固化方法,包括:
涂布介电材料到底物上;和
使所述介电材料暴露于有效量的紫外辐射,以增加所述介电材料的耐湿法蚀刻性能,其中相对于暴露前的所述介电材料的湿法蚀刻率,耐湿法蚀刻性能提高。
20.一种涂布到底物表面上的旋涂预金属介电材料的固化方法,包括:
涂布旋涂预金属介电材料到底物上;
使所述旋涂预金属介电材料暴露于第一紫外辐射模式达一段时间和强度以有效增加所述预金属介电材料的弹性模量性能和/或硬度性能;和
使所述旋涂预金属介电材料暴露于第二紫外辐射模式达一段时间和强度以有效进一步地增加所述预金属介电材料的弹性模量性能和/或硬度性能,其中第一和第二紫外辐射模式不同。
21.权利要求20的方法,其中所述第一和第二紫外辐射模式包括大于150纳米至小于400纳米的波长。
22.权利要求20的方法,进一步包括在暴露期间加热所述底物。
23.权利要求20的方法,其中所述预金属介电材料包括氢硅倍半氧烷、烷基硅倍半氧烷、碳掺杂的氧化物、氢化碳氧化硅、乙阶聚合物、芳基环丁烯基聚合物、基于聚亚苯基的聚合物、聚亚芳醚、聚酰亚胺、多孔硅石和包括至少一种上述介电材料的结合。
24.权利要求20的方法,其中以大于300纳米的纵横比涂布所述旋涂预金属介电材料到所述底物上。
CNA2005800500603A 2005-06-09 2005-06-09 用于预金属和/或浅槽隔离应用中所用的旋涂介电材料的uv固化方法 Pending CN101194344A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2005/020861 WO2007027165A1 (en) 2005-06-09 2005-06-09 Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications

Publications (1)

Publication Number Publication Date
CN101194344A true CN101194344A (zh) 2008-06-04

Family

ID=35229682

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800500603A Pending CN101194344A (zh) 2005-06-09 2005-06-09 用于预金属和/或浅槽隔离应用中所用的旋涂介电材料的uv固化方法

Country Status (4)

Country Link
EP (1) EP1889287A1 (zh)
JP (1) JP2008544484A (zh)
CN (1) CN101194344A (zh)
WO (1) WO2007027165A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108321078A (zh) * 2017-01-16 2018-07-24 三星显示有限公司 剥离目标对象和形成薄膜图案的方法
CN114597066A (zh) * 2022-03-22 2022-06-07 清华大学 高温储能聚合物电介质及其制备方法和应用

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5861235A (en) * 1996-06-26 1999-01-19 Dow Corning Asia, Ltd. Ultraviolet-curable composition and method for patterning the cured product therefrom
US6204168B1 (en) * 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20040084774A1 (en) * 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108321078A (zh) * 2017-01-16 2018-07-24 三星显示有限公司 剥离目标对象和形成薄膜图案的方法
CN108321078B (zh) * 2017-01-16 2023-12-29 三星显示有限公司 剥离目标对象和形成薄膜图案的方法
CN114597066A (zh) * 2022-03-22 2022-06-07 清华大学 高温储能聚合物电介质及其制备方法和应用
CN114597066B (zh) * 2022-03-22 2022-11-11 清华大学 高温储能聚合物电介质及其制备方法和应用

Also Published As

Publication number Publication date
EP1889287A1 (en) 2008-02-20
JP2008544484A (ja) 2008-12-04
WO2007027165A1 (en) 2007-03-08

Similar Documents

Publication Publication Date Title
CN101194344A (zh) 用于预金属和/或浅槽隔离应用中所用的旋涂介电材料的uv固化方法
US20050272220A1 (en) Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
JP5592327B2 (ja) 誘電体膜の材料特性を高めるための活性化学的方法
JP5485953B2 (ja) 制御された気孔を形成するための材料及び方法
KR101623764B1 (ko) 실리카질 막의 제조에 사용하는 침지용 용액 및 이를 사용한 실리카질 막의 제조법
CN100530564C (zh) 密封多孔低k介电材料的方法
KR100454618B1 (ko) 전자비임에의한수소실세스퀴옥산수지의경화법
KR100800495B1 (ko) 반도체 장치의 제조방법
EP1832351B1 (en) Low dielectric materials and methods for making same
EP1691410A2 (en) Method for defining a feature on a substrate
JP5306669B2 (ja) シリカ質膜の形成方法およびそれにより形成されたシリカ質膜
US8283260B2 (en) Process for restoring dielectric properties
KR20090037841A (ko) 반사방지 코팅
WO2006101578A1 (en) Vapor phase treatment of dielectric materials
WO2007142000A1 (ja) 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
JP2008124431A (ja) 薄膜トランジスタ用の絶縁体または平坦化層としての低温ゾルゲルシリケート
JPH1092804A (ja) 多孔質誘電体膜の製造方法
JPH0570119A (ja) 半導体装置の製造方法
JP5565314B2 (ja) 半導体装置の製造方法及びその製造装置
KR20080058288A (ko) 프리-메탈 및/또는 얕은 트렌치 절연 애플리케이션에서사용되는 스핀-온 유전체 재료에 대한 자외선 경화 공정
KR102160552B1 (ko) 절연막 형성 방법 및 절연막 제조장치
JP2002110663A (ja) オルガノシリコンナノクラスター薄膜のプラズマ照射によるシリコンおよび酸化シリコン薄膜の製造方法
KR20070037562A (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication