CN100527421C - 应用自对准双应力层的cmos结构和方法 - Google Patents

应用自对准双应力层的cmos结构和方法 Download PDF

Info

Publication number
CN100527421C
CN100527421C CN200710005908.1A CN200710005908A CN100527421C CN 100527421 C CN100527421 C CN 100527421C CN 200710005908 A CN200710005908 A CN 200710005908A CN 100527421 C CN100527421 C CN 100527421C
Authority
CN
China
Prior art keywords
stressor layers
transistor
stress
transistor seconds
polarity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200710005908.1A
Other languages
English (en)
Other versions
CN101064310A (zh
Inventor
朱慧珑
梁大源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis technologies
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101064310A publication Critical patent/CN101064310A/zh
Application granted granted Critical
Publication of CN100527421C publication Critical patent/CN100527421C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明涉及应用自对准双应力层的CMOS结构和方法,具体地涉及一种CMOS结构及制造CMOS结构的方法,所述CMOS结构提供位于第一晶体管上的第一应力层和位于第二晶体管上的第二应力层。所述第一应力层和所述第二应力层毗邻而不交迭。在所述第一晶体管和所述第二晶体管中的一个中的源/漏区上形成到硅化物层的接触时,这样的毗邻而不交迭的布置提供了提高的制造灵活性。

Description

应用自对准双应力层的CMOS结构和方法
技术领域
本发明总体上涉及互补金属氧化物半导体(CMOS)结构中的机械应力。更具体地,本发明涉及在CMOS结构中提供机械应力以提高器件性能、增加芯片成品率的结构和方法。
背景技术
CMOS结构包含互补的成对的具有不同导电类型的场效应晶体管。由于应用了互补的成对的不同导电类型,CMOS结构还提供了降低的能量或功率消耗。
CMOS制造领域内的趋势是将应用应力层作为在CMOS晶体管的沟道区内产生机械应力或应变场的方法。希望有某些类型的机械应力,只要其能向半导体沟道内引入应力。这样的应力一般在CMOS晶体管内提供提高的电荷载流子迁移率。互补类型的沟道应力(例如,在电流方向上的张或压应力,或张应变和压应变)提高了互补类型的CMOS晶体管(即nFET或pFET)内的互补类型的电荷载流子迁移率(即电子或空穴)。
由于机械应力是可以在很大程度上提高场效应晶体管性能的重要因素,因此希望有在CMOS晶体管沟道内提供更高水平的机械应力的CMOS结构和方法。
用于提高包括nFET和pFET器件的CMOS结构中的电荷载流子迁移率的方法为半导体制造领域所公知。例如,En等人在美国专利No.6,573,172中阐述了在pFET器件上应用张应力层以在其中提供pFET沟道的压应力和在nFET器件上应用压应力层以在其中产生pFET沟道的张应力。
由于应用机械应力作为提高电荷载流子迁移率的方法很可能在未来若干代的CMOS晶体管中继续,所以希望有另外的CMOS结构及其制造方法能够提供与应用机械应力效应相关联的电荷载流子迁移率的提高。
发明内容
本发明提供CMOS结构及其制造方法,其中互补晶体管上覆盖有合适的互补应力层,用于提供机械应力效应,提高电荷载流子迁移率。在CMOS结构中,所述互补应力层在夹在一对互补晶体管之间的位置处毗邻而不交迭。具体地,互补应力层在源/漏区上方要形成接触通孔的位置处毗邻,且既不上交迭也不下交迭。当硅化物层位于源/漏区上时,互补应力层的既不上交迭也不下交迭的布置提供了增强的制造工艺窗口或提高的芯片成品率,同时避免了向硅化物层中的过度蚀刻或向互补应力层中的蚀刻不足。
本发明还提供了用于制造CMOS结构的蚀刻方法,在该蚀刻方法中,夹在第一晶体管和第二晶体管之间的交迭且毗邻的第一应力层和不同于第一应力层的第二应力层中的至少一个被蚀刻,使得所述第一应力层和所述第二应力层毗邻而不交迭。
根据本发明的CMOS结构包含位于半导体衬底上具有第一极性的第一晶体管,其和具有不同于第一极性的第二极性的第二晶体管横向地分离。所述CMOS结构还包含位于第一晶体管整个区域上的具有第一应力的第一应力层和位于第二晶体管整个区域上的具有不同于第一应力的第二应力的第二应力层。在CMOS结构中,第一应力层和第二应力层在所述第一晶体管和所述第二晶体管之一中的源/漏区上方的位置处毗邻而不交迭。
根据本发明的用于制造CMOS结构的特定的方法包括在半导体衬底上形成具有第一极性的第一晶体管,其和具有不同于第一极性的第二极性的第二晶体管横向地分离。所述特定方法还包括形成位于第一晶体管整个区域上方的具有第一应力的第一应力层和位于第二晶体管整个区域上方的具有不同于第一应力的第二应力的第二应力层。在这个特定方法中,第一应力层和第二应力层在所述第一晶体管和所述第二晶体管之一中的源/漏区上方的位置处毗邻且交迭。这个特定方法还包括蚀刻第一应力层和第二应力层中的至少一个,使得所述第一应力层和所述第二应力层毗邻而不交迭。
另一个用于制造CMOS结构的方法包括在半导体衬底上形成具有第一极性的第一晶体管,其和具有不同于第一极性的第二极性的第二晶体管横向地分离。该另一方法还包括形成位于第一晶体管整个区域上方的具有第一应力的第一应力层和位于第二晶体管整个区域上方的具有不同于第一应力的第二应力的第二应力层。在该另一方法中,第一应力层和第二应力层在所述第一晶体管和所述第二晶体管之一中的源/漏区上方的位置处毗邻且交迭。该另一方法还包括进一步掩蔽(masking)第一晶体管和第二晶体管中的至少一个,使得毗邻且交迭的第一应力层和第二应力层至少部分暴露。该另一方法还包括蚀刻第一应力层和第二应力层中的至少一个,使得所述第一应力层和所述第二应力层毗邻而不交迭。
在公开的发明中,术语“毗邻而不交迭”是要描述第一应力层和第二应力层在它们的末端部分完全接触的一种布置和位置。此外,第一应力层或第二应力层中的任何一个上的任何部分都不位于第一应力层和第二应力层中的另外一个之上。
附图说明
本发明的目的、特征和优点应在下面给出的对优选的实施方式的描述的上下文环境中去理解。对优选的实施方式的描述应在构成本说明书的实质性部分的附图的上下文环境中去理解。附图中:
图1到图9是图解制造根据本发明的一个实施方式的CMOS结构时的各个渐进阶段的结果的一系列横截面示意图;
图10到图12是图解制造根据本发明的另一个实施方式的CMOS结构时的各个渐进阶段的结果的一系列横截面示意图;
图13到图15是图解制造根据本发明的另一个实施方式的CMOS结构时的各个渐进阶段的结果的一系列横截面示意图;
图16到图18是图解制造根据本发明的另一个实施方式的CMOS结构时的各个渐进阶段的结果的一系列横截面示意图。
具体实施方式
在下面进一步详细的描述中说明了提供包括毗邻而不交迭的互补应力层的CMOS结构及其制造方法的本发明。结合上述附图将理解下面的说明。由于附图只是要用于说明,它们不必要按比例绘制。
图1到图9是图解制造根据本发明的一个实施方式的CMOS结构时的各个渐进阶段的结果的一系列横截面示意图。
图1图示了半导体衬底10,其包含由隔离区12分隔开的有源区。第一晶体管T1位于一个有源区上,而第二晶体管T2位于一相邻的有源区上。晶体管T1和T2具有不同的极性(即导电类型),因而在各有源区中的掺杂类型不同。晶体管T1和T2包含位于半导体衬底10的有源区上的栅极电介质14。栅电极16和在栅极电介质14上对准,尽管这样的对准并不是本发明所要求的。两个部分隔离层18(即和栅电极16相邻的“L”形或反“L”形部分以及嵌套在其中的隔离体形状部分)和栅电极16相邻,其被图解为镜像对称的隔离层18部件,尽管它们是围着每一个独立的栅16的单个元件。源/漏区20位于半导体衬底的有源区中,并被位于栅电极16下的沟道区分隔。硅化物层22位于源/漏区20和栅电极16上。
前述的半导体衬底10、层和结构中的每一个可以包含半导体制造工艺中的传统的材料并具有半导体制造工艺中的传统的尺寸。前述的半导体衬底10、层和结构中的每一个可以应用半导体制造工艺中的传统方法来形成。
所述半导体衬底10包含半导体材料。其中可以构成半导体衬底10的半导体材料的非限制性的例子包括硅、锗、硅锗合金、碳化硅、碳化硅锗合金和化合物半导体材料。化合物半导体材料的非限制性的例子包括砷化镓、砷化铟和磷化铟半导体材料。
所述实施方式和本发明设想半导体衬底10可以包括体半导体衬底。或者,所述实施方式和本发明还可以设想半导体衬底10可以包括绝缘体上半导体衬底。或者,所述实施方式和本发明还可以设想半导体衬底可以包括混合取向衬底(混合晶向衬底)。
绝缘体上半导体衬底包含基础半导体衬底、位于其上的埋入电介质层以及进一步位于其上的表面半导体层。混合晶向衬底包含具有多个晶向的半导体衬底,其可以提供不同的晶向沟道区用于CMOS结构内的每一个晶体管。
绝缘体上半导体衬底和混合晶向衬底可以应用多种层叠方法和层转移方法中的任何方法形成。前述衬底还可以使用注氧隔离(SIMOX,separation by implantation of oxygen)方法形成。
隔离区12包含介质隔离材料。所述实施方式和本发明设想隔离区可以包含浅槽隔离区和深槽隔离区,至少是硅局部氧化隔离区。构成隔离区12的电介质隔离材料可以包含硅的氧化物、氮化物和/或氧氮化物。不排除其它元素的氧化物、氮化物和/或氧氮化物作为电介质隔离材料。电介质隔离材料可以通过以下方法但不限于以下方法形成:热或等离子氧化或氮化方法、化学汽相淀积方法和物理气相淀积方法。一般地,隔离区12至少部分由厚度大约为100到50000埃的硅氧化物电介质隔离材料形成,其中厚度很大程度上取决于SOI或体半导体衬底的应用。
栅极电介质14一般可以包含传统的在真空中测量的介电常数为约4到约40的栅极电介质材料。这样一般传统的栅极电介质材料可以包含,但不限于:硅的氧化物、氮化物和/或氧氮化物。它们可以应用和上面公开的关于形成隔离区12的方法相似或相同的方法形成。或者,栅极电介质14一般也可以包含在真空中测量的介电常数为约20到至少约100的更高的介电常数的电介质材料。一般这些更高介电常数的电介质材料可以包含,但不限于:铪氧化物、铪的硅酸盐、钛氧化物、镧氧化物、锶钡钛酸盐(BST,barium-strontium titantates)和铅的锆酸钛酸盐(PZT,lead-zirconate titantates)。典型地,栅极电介质14包含厚度为大约5到大约70埃的热硅氧化物栅极电介质材料。
栅电极18包含栅电极导体材料。典型的栅电极导体材料包含某些金属、金属合金、金属氮化物和金属硅化物以及多晶硅材料。栅电极导体材料可以通过下述方法形成,这些方法包括但不限于:电镀法、化学汽相淀积方法(包含原子层化学气相淀积方法)和物理汽相淀积方法(包含溅镀法)。一般,栅电极18包含厚度为大约500到大约1500埃的多晶硅栅电极导体材料或金属、金属硅化物。
如上所述,希望使隔离层18作为两个元件结构,包含:(1)如图所示的和栅电极16邻接的“L”或反“L”形部分,其结合(2)嵌套在“L”形部分或反“L”形部分内的更为传统的隔离体形状部分。前述两种元件结构的每一个可以包含与构成隔离区12的材料相似、等同或相同的材料。“L”或反“L”形结构典型地应用共形层淀积方法淀积。隔离体形状部分使用均厚层淀积(blanket layer deposition)和各向异性回蚀(anisotropic etchback)方法来形成。
源/漏区20使用二步离子注入方法来形成。在二步离子注入方法中的第一步使用栅极16作为掩模,不使用隔离层18,来在半导体衬底10中形成扩展区。在二步离子注入方法中的第二步使用栅极16和隔离层18作为掩模来在半导体衬底10中形成源/漏区20的导体区部分。这样源/漏区20包含扩展区元件和导体区元件。源/漏区20内的掺杂剂浓度的范围在每立方厘米大约1×1020到大约3×1021个掺杂剂原子。
硅化物层22可以包含数种形成金属硅化物的金属中的任何一种。硅化物形成金属(即形成硅化物的金属)的非限制性的例子包括:钛、钨、镍、钴、钒和钼等硅化物形成金属。一般应用自对准硅化物(即“salicide”,self-aligned silicide)方法形成硅化物层22,该方法提供:(1)金属硅化物形成金属的均厚层淀积;(2)随后热退火以提供和硅接触的硅化物形成;以及(3)随后除去多余的硅化物形成金属层。典型地,每一层硅化物层22的厚度为大约50到大约200埃。
图2图示了图1中的位于CMOS结构上的第一应力层24。图2还图示了位于第一应力层24上的蚀刻停止层26。
第一应力层24包含具有用来完善和提高第一晶体管T1的性能的第一应力的材料。当第一晶体管T1是nFET时,第一应力最好是张应力,其在第一晶体管T1的沟道内提供张应力。在这样的情况下,在第一晶体管T1内的电子电荷载流子迁移率提高了。相反,当第一晶体管T1是pFET时,希望上覆层为压应力,用以产生提高空穴迁移率的沟道压应力。
在当前的实施方式中,第一晶体管T1最好是nFET且第一应力层24最好包含张应力层。
第一应力层24可以包含几种应力材料中的任何一种。其例子包含但不限于:氮化物和氧氮化物。氮化物是尤其常用的应力层材料,因为通过使用不同的淀积条件形成氮化物层,可以向氮化物层材料中引入不同辐度和类型的应力。影响氮化物层应力的具体淀积条件包括温度在200℃到600℃范围内的低频等离子与高频等离子的比率的改变。
一般地,第一应力层24包含厚度为大约500到大约1000埃的氮化物材料,尽管本发明不限于只包含氮化物材料的应力层。
蚀刻停止层26可以包含数个蚀刻停止材料中的任何一个。蚀刻停止材料一般具有和第一应力层24不同的成分。一般,当第一应力层24包含氮化物材料时,蚀刻停止层26包含氧化物蚀刻停止材料。在当前的实施方式中,蚀刻停止层26一般包含厚度为大约50到大约300埃的氧化硅蚀刻停止材料。蚀刻停止层26可以使用和形成隔离区12时使用的方法类似的方法来形成。
图3图示了位于蚀刻停止层26上覆盖第一晶体管T1的块状掩模28。块状掩模28可以包含几个掩模材料中的任何一个。其例子包括但不限于:硬掩模材料和光刻胶(光致抗蚀剂)掩模材料。光刻胶掩模材料更常用。光刻胶掩模材料的非限制性例子包括:正性光刻胶材料、负性光刻胶材料以及混合光刻胶材料。一般地,块状掩模28包含厚度为大约1000到大约5000埃的光刻胶材料。
图4图示了在使用块状掩模28作为蚀刻掩膜的同时,蚀刻蚀刻停止层26和第一应力层24来形成相应的蚀刻停止层26’和第一应力层24’的结果。一般在使用等离子蚀刻剂时采用前述的蚀刻,尽管这不是对此实施方式和本发明的限制。也可以使用不常用的湿法化学蚀刻剂。一般地,当从蚀刻停止层26和第一应力层24形成蚀刻停止层26’和第一应力层24’时,等离子蚀刻剂使用含氟蚀刻剂气体组分来蚀刻蚀刻停止层26和第一应力层24中的每一个。如图4所示,蚀刻还从隔离层18形成隔离层18’。
图5首先图示了从图4的CMOS结构去除块状掩模28的结果。可以使用另外的传统半导体制造工艺的方法和材料来去除块状掩模28。其包括湿法化学去除方法和材料,干法等离子去除方法和材料和集聚去除(aggregate stripping)方法和材料。干法等离子去除方法和材料尤其常用,但不是对本发明的限制。
图5也显示了从图4的半导体结构去除块状掩模28后的位于该半导体结构上的第二应力层30。
第二应力层30具有设计为用来促进第二晶体管T2的性能(一般在电荷载流子迁移率方面)的提高的合适的应力。第二应力层30可以包含和图3所示的用于形成第一应力层24使用的材料和尺寸相似、等效或相同的材料和尺寸。如上所述,第一应力层24一般包含氮化硅材料,其应力(例如张应力或压应力)可以设计为随着对具体淀积条件的控制而变化。第二应力层30因此也包含氮化物材料,但是其淀积条件选择为具有和第一应力层24一般相反的应力类型(也就是张应力或者压应力)。
在当前实施方式中,第一应力层24最好是包含大约500MPa到大约4GPa的张应力(当第一晶体管T1是nFET时),第二应力层30最好是大约-500MPa到大约-5GPa的压应力(当第二晶体管T2是pFET时)。
图6图示了位于第二应力层30上和覆盖第二晶体管T2的块状掩模28’。块状掩模28’另外包含和图3所示的块状掩模28相似或相当的材料和尺寸。
图7图示了对第二应力层30图案化以形成第二应力层30’,以及随后从图6的CMOS结构中去除块状掩模28’的结果。
可以使用和在蚀刻第一应力层24以形成第一应力层24’时使用的方法和材料相似、相当或相同的材料和方法来蚀刻第二应力层30以形成第二应力层30’。可以使用和去除第一块状掩模28时使用的方法和材料相似、相当或相同的方法和材料来去除第二块状掩模28’。
如图7的示意图中所示,第一应力层24’和第二应力层30’毗邻且交迭。
图8图示了蚀刻蚀刻停止层26、第一应力层24’和第二应力层30’的结果,这样所得到的第一应力层24”和所得到的第二应力层30”毗邻而不交迭,而不是毗邻且交迭。从此蚀刻还产生了蚀刻停止层26”。
前述蚀刻可以采用传统的半导体制造工艺中使用的方法。非限制性的例子是等离子蚀刻方法和溅射蚀刻方法。最好是溅射蚀刻方法,其使用氩或氮溅射蚀刻剂。在形成第一应力层24”和第二应力层30”时,这样的溅射蚀刻剂最好倾向从第一应力层24’和第二应力层30’的上表面比从下表面蚀刻得更多。
在当前实施方式和本发明中,第一应力层24”和第二应力层30”的毗邻而不交迭提高了可制造性。在第一应力层24’和第二应力层30’的交迭部分位于源/漏区的接触区部分上方时,特别是当源/漏区上有硅化物层的情况下,希望提高可制造性。
图9图示了从图8的CMOS结构蚀刻蚀刻停止层26"的剩余部分的结果。图9也图示了在蚀刻蚀刻停止层26"的剩余部分后的位于图8中的CMOS结构上的盖层32。蚀刻停止层26”的剩余部分可以使用湿法化学蚀刻剂或干法等离子蚀刻剂进行蚀刻。
盖层30可以包含数个盖层材料的任何一个,包括:硅的氧化物、氮化物或氮氧化物,以及其它元素的氧化物、氮化物或氮氧化物。盖层32最好包含厚度为大约50到大约100埃的氮化硅材料。
图9图示了根据本发明的第一实施方式的CMOS结构。CMOS结构包含互补的位于第一晶体管T1上的第一应力层24”和位于第二晶体管T2上的第二应力层30”。互补的第一应力层24”和第二应力层30”在夹在第一晶体管T1和第二晶体管T2之间的位置处毗邻而不交迭。
由于互补的第一应力层24”和第二应力层30”毗邻而不交迭,图9中图解的CMOS结构提高了可制造性。提高的可制造性源自第一应力层24”和第二应力层30”提供的标称水平面,这样接触通孔可以被有效地蚀刻到达其上具有硅化物层22的源/漏区20,而不损坏硅化物层22。
图10到图12图解了在制造根据本发明的另一个实施方式的CMOS结构时的各个渐进阶段的结果的一系列横截面示意图。本发明的这另一实施方式包含本发明的第二实施方式。
图10图示了在第一实施方式中从图7的CMOS结构得到的CMOS结构。但是图10的CMOS结构图示了位于图7的CMOS结构上的阻挡层34。块状掩模36位于阻挡层34上并覆盖第一晶体管T1。块状掩模36’位于阻挡层34上并覆盖第二晶体管T2。
在第一应力层24和第二应力层30包含氮化物材料的情况下,阻挡层34最好包含氧化物材料。阻挡层34可以使用和形成蚀刻停止层26时使用的方法和材料相似、相当或相同的方法和材料来形成。一般地,阻挡层34的厚度为大约300到大约500埃。
块状掩模36和36’可以包含数个上面公开的用于块状掩模28和28’的块状掩模材料中的任何一个。
图11图示了图10的CMOS结构的进一步处理的结果。图11图示了蚀刻阻挡层34以形成跨在第一晶体管T1和第二晶体管T2上的阻挡层34’的结果。通过这样做,暴露了第一应力层24’和第二应力层30’的毗邻和交迭部分。图11还图示了去除块状掩模36和36’的结果。
图12图示了图11的CMOS结构的进一步处理的结果。图12图示了蚀刻第一应力层24’和第二应力层30’以产生毗邻而不交迭的第一应力层24”和第二应力层30”的结果。蚀刻最好使用如上面在第一实施中公开的氮或氩溅射蚀刻。
由于上面第一实施方式中公开的原因,毗邻而不交迭的第一应力层24”和第二应力层30”提高了图12的CMOS结构的可制造性。
在第二实施方式中,阻挡层34’的使用也有助于保持第一应力层24”和第二应力层30”在第一晶体管T1和第二晶体管T2上方的位置的完整的初始厚度。这样,来自第一应力层24”和第二应力层30”的应力的全部效应被分别传递到上方形成有第一应力层24”和第二应力层30”的相应半导体沟道中。
图13到图15图示了相应于图10到图12的一系列示意横截面图,但块状掩模36只位于第一晶体管T1上方而不位于第二晶体管T2上方。图13到图15包含本发明的第三个实施方式。
图16到图18图示了相应于图10到图12或图13到图15的一系列示意横截面图,但块状掩模36’只位于第二晶体管T2上方而不位于第一晶体管T1上方。图16到图18包含本发明的第四个实施方式。
用于图13到图15或图16到图18的处理工艺与图10到图12的处理工艺类似。但是,由于只有一个单个的块状掩模36或36’,第一应力层24”(即图15)和第二应力层30”(即图18)中只有一个在溅射蚀刻之后具有初始厚度。
在图10到图12所示的本发明的第二实施方式、图13到图15所示的本发明的第三实施方式以及图16到图18所示的本发明的第四实施方式中的每一个中,和第二应力层30’毗邻且交迭的第一应力层24’被蚀刻以形成毗邻而不交迭的第一应力层24”和第二应力层30”。
根据本发明的第一实施方式,第二实施方式,第三实施方式和第四实施方式,由于前述毗邻而不交迭而提高了CMOS结构的可制造性。
本发明的优选的实施方式是说明本发明而不是对本发明的限制。可以对根据本发明的优选的实施方式的CMOS结构及其制造方法的方法、材料、结构和尺寸作出修改和变动,而仍然提供根据本发明和附图的CMOS结构。

Claims (17)

1.一种CMOS结构,包括:
位于半导体衬底上具有第一极性的第一晶体管,其和具有不同于所述第一极性的第二极性的第二晶体管横向地分离;以及
位于所述第一晶体管整个区域上的具有第一应力的第一应力层和位于所述第二晶体管整个区域上的具有不同于所述第一应力的第二应力的第二应力层,其中所述第一应力层和所述第二应力层在所述第一晶体管和所述第二晶体管之一中的源/漏区上方的位置处毗邻而不交迭。
2.如权利要求1所述的CMOS结构,其中:
所述半导体衬底包括混合取向衬底;以及
所述第一晶体管和所述第二晶体管应用不同晶向的沟道区。
3.如权利要求1所述的CMOS结构,还包含位于源/漏区上的硅化物层。
4.如权利要求1所述的CMOS结构,其中所述第一应力层和所述第二应力层中的每一个包含氮化物材料。
5.如权利要求1所述的CMOS结构,其中:
所述第一应力是张应力且所述第一晶体管是nFET;以及
所述第二应力是压应力且所述第二晶体管是pFET。
6.一种制造CMOS结构的方法,包括:
形成位于半导体衬底上具有第一极性的第一晶体管,其和具有不同于所述第一极性的第二极性的第二晶体管横向地分离;
形成位于所述第一晶体管整个区域上方的具有第一应力的第一应力层和位于所述第二晶体管整个区域上方的具有不同于所述第一应力的第二应力的第二应力层,其中所述第一应力层和所述第二应力层在所述第一晶体管和所述第二晶体管中之一中的源/漏区上方的位置处毗邻且交迭;以及
蚀刻所述第一应力层和所述第二应力层中的至少一个使得所述第一应力层和所述第二应力层毗邻而不交迭。
7.如权利要求6所述的方法,其中所述形成所述第一晶体管和所述第二晶体管的步骤应用混合取向衬底,其为所述第一晶体管和所述第二晶体管中的每一个提供不同晶向的沟道。
8.如权利要求6所述的方法,其中所述第一应力层和所述第二应力层中的每一个包含氮化物材料。
9.如权利要求6所述的方法,其中:
所述第一应力是张应力且所述第一晶体管是nFET;以及
所述第二应力是压应力且所述第二晶体管是pFET。
10.如权利要求6所述的方法,其中所述第一应力层和所述第二应力层的所述蚀刻是在没有掩蔽所述第一应力层和所述第二应力层的情况下进行的。
11.一种制造CMOS结构的方法,包括:
形成位于半导体衬底上具有第一极性的第一晶体管,其和具有不同于所述第一极性的第二极性的第二晶体管横向地分离;
形成位于所述第一晶体管整个区域上的具有第一应力的第一应力层和位于所述第二晶体管整个区域上的具有不同于所述第一应力的第二应力的第二应力层,其中所述第一应力层和所述第二应力层在所述第一晶体管和所述第二晶体管中之一中的源/漏区上方的位置处毗邻且交迭;
进一步掩蔽所述第一应力层和所述第二应力层中的至少一个以暴露毗邻且交迭的所述第一应力层和所述第二应力层的至少一部分;以及
蚀刻所述第一应力层和所述第二应力层中的至少一个使得所述第一应力层和所述第二应力层毗邻而不交迭。
12.如权利要求11所述的方法,其中所述进一步掩蔽步骤只掩蔽所述第一应力层和所述第二应力层中的一个。
13.如权利要求11所述的方法,其中所述进一步掩蔽步骤同时掩蔽所述第一应力层和所述第二应力层。
14.如权利要求11所述的方法,还包括在进一步掩蔽所述第一应力层和所述第二应力层中的至少一个之前,在所述第一应力层和所述第二应力层上方形成阻挡层。
15.如权利要求11所述的方法,其中所述形成所述第一晶体管和所述第二晶体管的步骤使用混合取向衬底,其为所述第一晶体管和所述第二晶体管中的每一个提供不同晶向的沟道。
16.如权利要求11所述的方法,其中,所述第一应力层和所述第二应力层中的每一个包含氮化物材料。
17.如权利要求11所述的方法,其中:
所述第一应力是张应力且所述第一晶体管是nFET;以及
所述第二应力是压应力且所述第二晶体管是pFET。
CN200710005908.1A 2006-04-28 2007-02-15 应用自对准双应力层的cmos结构和方法 Expired - Fee Related CN100527421C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/380,695 2006-04-28
US11/380,695 US7521307B2 (en) 2006-04-28 2006-04-28 CMOS structures and methods using self-aligned dual stressed layers

Publications (2)

Publication Number Publication Date
CN101064310A CN101064310A (zh) 2007-10-31
CN100527421C true CN100527421C (zh) 2009-08-12

Family

ID=38647543

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710005908.1A Expired - Fee Related CN100527421C (zh) 2006-04-28 2007-02-15 应用自对准双应力层的cmos结构和方法

Country Status (4)

Country Link
US (4) US7521307B2 (zh)
JP (2) JP2007300090A (zh)
CN (1) CN100527421C (zh)
TW (1) TW200805572A (zh)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080128831A1 (en) * 2005-11-16 2008-06-05 United Microelectronics Corp. Cmos and mos device
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
KR100809335B1 (ko) * 2006-09-28 2008-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR100772902B1 (ko) * 2006-09-28 2007-11-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
US20080116521A1 (en) * 2006-11-16 2008-05-22 Samsung Electronics Co., Ltd CMOS Integrated Circuits that Utilize Insulating Layers with High Stress Characteristics to Improve NMOS and PMOS Transistor Carrier Mobilities and Methods of Forming Same
JP2008140854A (ja) * 2006-11-30 2008-06-19 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7521763B2 (en) * 2007-01-03 2009-04-21 International Business Machines Corporation Dual stress STI
US20080169510A1 (en) * 2007-01-17 2008-07-17 International Business Machines Corporation Performance enhancement on both nmosfet and pmosfet using self-aligned dual stressed films
KR100825809B1 (ko) * 2007-02-27 2008-04-29 삼성전자주식회사 스트레인층을 갖는 반도체 소자의 구조 및 그 제조 방법
US7466008B2 (en) * 2007-03-13 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. BiCMOS performance enhancement by mechanical uniaxial strain and methods of manufacture
US7534678B2 (en) * 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
US7521380B2 (en) * 2007-04-23 2009-04-21 Advanced Micro Devices, Inc. Methods for fabricating a stress enhanced semiconductor device having narrow pitch and wide pitch transistors
US7902082B2 (en) * 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) * 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
KR101194843B1 (ko) * 2007-12-07 2012-10-25 삼성전자주식회사 Ge 실리사이드층의 형성방법, Ge 실리사이드층을포함하는 반도체 소자 및 그의 제조방법
US7786518B2 (en) * 2007-12-27 2010-08-31 Texas Instruments Incorporated Growth of unfaceted SiGe in MOS transistor fabrication
US7727834B2 (en) * 2008-02-14 2010-06-01 Toshiba America Electronic Components, Inc. Contact configuration and method in dual-stress liner semiconductor device
CN101577251B (zh) * 2008-05-05 2011-11-30 中芯国际集成电路制造(北京)有限公司 Cmos器件钝化层形成方法
JP5262370B2 (ja) 2008-07-10 2013-08-14 富士通セミコンダクター株式会社 半導体装置の製造方法、及び半導体装置
JP5278022B2 (ja) * 2009-02-17 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
US8298876B2 (en) * 2009-03-27 2012-10-30 International Business Machines Corporation Methods for normalizing strain in semiconductor devices and strain normalized semiconductor devices
US20120123288A1 (en) * 2009-07-30 2012-05-17 Koninklijke Philips Electronics N.V. Method and apparatus of determining exhaled nitric oxide
CN102130057B (zh) * 2010-01-14 2013-05-01 中芯国际集成电路制造(上海)有限公司 制作互补金属氧化物半导体器件的方法和结构
CN102130058A (zh) * 2010-01-19 2011-07-20 中芯国际集成电路制造(上海)有限公司 Cmos晶体管及其制作方法
US8350253B1 (en) * 2010-01-29 2013-01-08 Xilinx, Inc. Integrated circuit with stress inserts
CN102376646B (zh) * 2010-08-24 2014-03-19 中芯国际集成电路制造(上海)有限公司 改善双应力氮化物表面形态的方法
JP5614333B2 (ja) * 2011-03-01 2014-10-29 富士通セミコンダクター株式会社 半導体装置
CN102437095A (zh) * 2011-08-29 2012-05-02 上海华力微电子有限公司 一种用于双刻蚀阻挡层技术的工艺集成方法
CN103325787B (zh) * 2012-03-21 2017-05-03 中国科学院微电子研究所 Cmos器件及其制造方法
CN103579110B (zh) * 2012-07-26 2016-04-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103681506B (zh) * 2012-09-20 2016-06-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR102204777B1 (ko) * 2013-07-08 2021-01-20 이피션트 파워 컨버젼 코퍼레이션 갈륨 나이트라이드 소자 및 집적회로 내 격리 구조
US20160211250A1 (en) * 2015-01-15 2016-07-21 Infineon Technologies Ag Semiconductor substrate arrangement, a semiconductor device, and a method for processing a semiconductor substrate
US9601686B1 (en) * 2015-12-14 2017-03-21 International Business Machines Corporation Magnetoresistive structures with stressed layer
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
WO2018101278A1 (ja) * 2016-11-30 2018-06-07 株式会社リコー 酸化物又は酸窒化物絶縁体膜形成用塗布液、酸化物又は酸窒化物絶縁体膜、電界効果型トランジスタ、及びそれらの製造方法
US10489330B2 (en) * 2018-11-15 2019-11-26 Intel Corporation Active extensible memory hub

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1499634A (zh) * 2002-11-04 2004-05-26 台湾积体电路制造股份有限公司 Cmos元件及其制造方法
CN1612327A (zh) * 2003-10-30 2005-05-04 国际商业机器公司 用于调节半导体器件的载流子迁移率的结构和方法

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (de) * 1985-09-13 1991-02-14 Siemens Ag Integrierte bipolar- und komplementaere mos-transistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
JPH02138750A (ja) * 1988-08-24 1990-05-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JP3280725B2 (ja) * 1992-12-02 2002-05-13 オーリンス レーシング アクティエ ボラーグ 筒型ショックアブソーバ
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
JPH08111457A (ja) * 1994-10-12 1996-04-30 Fujitsu Ltd 半導体装置の製造方法
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6475895B1 (en) * 1999-08-06 2002-11-05 Newport Fab, Llc Semiconductor device having a passivation layer and method for its fabrication
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6372664B1 (en) * 1999-10-15 2002-04-16 Taiwan Semiconductor Manufacturing Company Crack resistant multi-layer dielectric layer and method for formation thereof
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6264317B1 (en) * 1999-11-19 2001-07-24 Lexmark International, Inc. Corrosion resistant printhead body for ink jet pen
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6225169B1 (en) * 2000-02-24 2001-05-01 Novellus Systems, Inc. High density plasma nitridation as diffusion barrier and interface defect densities reduction for gate dielectric
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2005504436A (ja) * 2001-09-21 2005-02-10 アンバーウェーブ システムズ コーポレイション 画定された不純物勾配を有するひずみ材料層を使用する半導体構造、およびその構造を製作するための方法。
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
FR2846789B1 (fr) * 2002-11-05 2005-06-24 St Microelectronics Sa Dispositif semi-conducteur a transistors mos a couche d'arret de gravure ayant un stress residuel ameliore et procede de fabrication d'un tel dispositif semi-conducteur
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
JP4557508B2 (ja) * 2003-06-16 2010-10-06 パナソニック株式会社 半導体装置
US7148559B2 (en) * 2003-06-20 2006-12-12 International Business Machines Corporation Substrate engineering for optimum CMOS device performance
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
US6929992B1 (en) 2003-12-17 2005-08-16 Advanced Micro Devices, Inc. Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US20050214998A1 (en) * 2004-03-26 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Local stress control for CMOS performance enhancement
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
JP4794838B2 (ja) * 2004-09-07 2011-10-19 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7354806B2 (en) 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
JP5002891B2 (ja) * 2004-12-17 2012-08-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US7101744B1 (en) * 2005-03-01 2006-09-05 International Business Machines Corporation Method for forming self-aligned, dual silicon nitride liner for CMOS devices
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US7244644B2 (en) * 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
US7297584B2 (en) * 2005-10-07 2007-11-20 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices having a dual stress liner
US7615432B2 (en) * 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
JP4760414B2 (ja) * 2006-02-06 2011-08-31 ソニー株式会社 半導体装置の製造方法
US7361539B2 (en) * 2006-05-16 2008-04-22 International Business Machines Corporation Dual stress liner
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US20080087965A1 (en) * 2006-10-11 2008-04-17 International Business Machines Corporation Structure and method of forming transistor density based stress layers in cmos devices
US7612414B2 (en) * 2007-03-29 2009-11-03 International Business Machines Corporation Overlapped stressed liners for improved contacts
US7750414B2 (en) * 2008-05-29 2010-07-06 International Business Machines Corporation Structure and method for reducing threshold voltage variation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1499634A (zh) * 2002-11-04 2004-05-26 台湾积体电路制造股份有限公司 Cmos元件及其制造方法
CN1612327A (zh) * 2003-10-30 2005-05-04 国际商业机器公司 用于调节半导体器件的载流子迁移率的结构和方法

Also Published As

Publication number Publication date
US20090194819A1 (en) 2009-08-06
US20150087121A1 (en) 2015-03-26
JP5274594B2 (ja) 2013-08-28
US8901662B2 (en) 2014-12-02
US20070252230A1 (en) 2007-11-01
TW200805572A (en) 2008-01-16
JP2007300090A (ja) 2007-11-15
US7521307B2 (en) 2009-04-21
CN101064310A (zh) 2007-10-31
US9318344B2 (en) 2016-04-19
JP2011124601A (ja) 2011-06-23
US20070252214A1 (en) 2007-11-01

Similar Documents

Publication Publication Date Title
CN100527421C (zh) 应用自对准双应力层的cmos结构和方法
KR101201489B1 (ko) Soi 디바이스 제조 방법
EP1565931B1 (en) Strained finfet cmos device structures
CN100461430C (zh) 半导体结构及其形成方法
US6100561A (en) Method for forming LDD CMOS using double spacers and large-tilt-angle ion implantation
TWI413216B (zh) 用於製造受應力之mos裝置之方法
JP2002076287A (ja) 半導体装置およびその製造方法
CN101379609A (zh) 具有混合沟道取向的cmos器件及其制造方法
KR20040028675A (ko) 반도체 장치 및 그 제조 방법
US5686324A (en) Process for forming LDD CMOS using large-tilt-angle ion implantation
US7981784B2 (en) Methods of manufacturing a semiconductor device
JP2000196090A (ja) ダブルゲ―ト構造を持つsoi素子及びその製造方法
JP2009033173A (ja) 半導体素子およびその製造方法
US7348233B1 (en) Methods for fabricating a CMOS device including silicide contacts
EP1186020B1 (en) A method of manufacturing a semiconductor device
US6727534B1 (en) Electrically programmed MOS transistor source/drain series resistance
US20040169224A1 (en) Semiconductor device and manufacturing method therefor
JPH0738095A (ja) 半導体装置及びその製造方法
KR100511898B1 (ko) 반도체 소자의 제조방법
KR100611786B1 (ko) Mos 트랜지스터 제조 방법
KR100396711B1 (ko) 반도체 소자의 제조방법
KR100429229B1 (ko) 반도체 소자의 제조방법
JPH0521455A (ja) 半導体集積回路装置の製造方法
JPH11126903A (ja) 複合側壁スペーサを有するトランジスタおよびその形成方法
JP2000040817A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20200723

Address after: Okatai Odawara

Patentee after: Elpis technologies

Address before: New York, USA

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090812

Termination date: 20210215

CF01 Termination of patent right due to non-payment of annual fee