WO2016068004A1 - プラズマエッチング方法 - Google Patents

プラズマエッチング方法 Download PDF

Info

Publication number
WO2016068004A1
WO2016068004A1 PCT/JP2015/079785 JP2015079785W WO2016068004A1 WO 2016068004 A1 WO2016068004 A1 WO 2016068004A1 JP 2015079785 W JP2015079785 W JP 2015079785W WO 2016068004 A1 WO2016068004 A1 WO 2016068004A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
silicon
film
silicon oxide
plasma
Prior art date
Application number
PCT/JP2015/079785
Other languages
English (en)
French (fr)
Inventor
豪 松浦
Original Assignee
日本ゼオン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本ゼオン株式会社 filed Critical 日本ゼオン株式会社
Priority to EP15855011.1A priority Critical patent/EP3214640B1/en
Priority to JP2016556524A priority patent/JP6696429B2/ja
Priority to SG11201703122PA priority patent/SG11201703122PA/en
Priority to CN201580059034.0A priority patent/CN107112232A/zh
Priority to KR1020177013905A priority patent/KR102494959B1/ko
Priority to US15/519,897 priority patent/US20170243756A1/en
Publication of WO2016068004A1 publication Critical patent/WO2016068004A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Definitions

  • the present invention relates to a plasma etching method using a processing gas under plasma conditions in a semiconductor device manufacturing process, wherein silicon oxide and at least one selected from the group consisting of silicon nitride, silicon, and an organic film are simultaneously used.
  • the present invention relates to a method of selectively plasma-etching silicon oxide during etching.
  • a silicon compound film for example, SiO 2 film, Si 3 N 4 (SiN) film, SiC film, etc.
  • etching selectivity between a silicon compound film to be processed and a silicon compound film or an organic film that is not required to be processed (non-processing target) mixed on the same substrate is important.
  • Non-Patent Document 1 and Patent Document 1 for etching a silicon oxide film, fluorocarbons such as C 4 F 6 , C 4 F 8 and C 5 F 8 , and hydrofluorocarbons such as CH 3 F and C 5 HF 7 are used. Is described as a process gas.
  • the aforementioned fluorocarbon and hydrofluorocarbon are usually used by mixing with a rare gas such as argon or oxygen during plasma etching. This is because when only fluorocarbon or hydrofluorocarbon is used as a processing gas, a thick plasma polymerized film is formed on the substrate and etching does not proceed.
  • the rare gas is used for the purpose of diluting fluorocarbon or hydrofluorocarbon
  • oxygen is a precursor of the plasma polymerized film, and is an active species contributing to etching
  • CFx (x is an integer of 1 to 3). Used to control the amount. When the amount of CFx is large, a polymerized film is formed on the substrate, and etching proceeds only when the amount becomes appropriate.
  • ⁇ In order to control the amount of CFx it is usually adjusted by the flow rate of oxygen to be mixed. For example, when the oxygen mixing flow rate is increased little by little, the polymerization rate of the silicon oxide gradually decreases, and the silicon oxide is etched from the oxygen mixing flow rate region where the formation of the polymer film has preferentially occurred. Changes to the oxygen flow rate range. If the etching rate of silicon oxide is sufficiently high with respect to an organic film such as silicon nitride, silicon, or photoresist in this flow rate region, it is possible to etch silicon oxide with a good selectivity.
  • hydrofluorocarbons are more easily polymerized than fluorocarbons, and it is extremely difficult to adjust the flow rate of gas that simultaneously satisfies a sufficient etching rate and high etching selectivity when oxygen is added to control etching.
  • silicon non-processing objects such as silicon nitride, silicon, and organic films are mixed on the substrate, and if a condition that maintains a high selection ratio for any material is selected, silicon Since the etching rate of the oxide film is lowered, the productivity of the etching process is also problematic.
  • the present invention has been made in view of the above prior art, and in silicon oxide etching, silicon nitride, silicon, and organic materials can be obtained while obtaining a sufficiently high etching rate without using oxygen or hydrogen. It is an object of the present invention to provide a plasma etching method capable of realizing high etching selectivity.
  • the present inventor has intensively studied a plasma etching method of a silicon oxide film using various compounds as etching gases.
  • a plasma etching method of a silicon oxide film using various compounds as etching gases As a result, when hydrofluoroether satisfying certain conditions is used as a processing gas for plasma etching, a sufficient etching rate and at least one kind of non-processed object selected from silicon nitride, silicon, and organic film can be obtained.
  • the inventors have found that a high etching selectivity can be obtained at the same time, and have completed the present invention.
  • the following plasma etching methods (1) to (4) are provided.
  • a plasma etching method using a processing gas under plasma conditions wherein at least one selected from hydrofluoroethers represented by formula (I) is used as a processing gas.
  • R represents a hydrogen atom or a fluoroalkyl group represented by C n F 2n + 1 .
  • m and n are positive integers satisfying 1 ⁇ m ⁇ 3 and 3 ⁇ (m + n) ⁇ 4.
  • the hydrofluoroether is at least one selected from the group consisting of 1,1,2,2,3,3,3-heptafluoropropyl methyl ether and heptafluoroisopropyl methyl ether.
  • Plasma etching method As the treatment gas, the hydrofluoroether represented by the formula (I) and the rare gas are used in an amount of 20 to 3000 rare gases with respect to 100 parts by volume of the hydrofluoroether represented by the formula (I).
  • the silicon oxide is selectively etched when simultaneously etching silicon oxide and at least one selected from the group consisting of silicon nitride, silicon, and an organic material.
  • high etching selectivity with silicon nitride, silicon, and organic materials can be obtained while obtaining a sufficiently high etching rate without using oxygen or hydrogen in the etching of silicon oxide. Can be realized.
  • the present invention relates to a plasma etching method using a processing gas under plasma conditions, wherein at least one selected from hydrofluoroethers represented by the formula (I) is used as a processing gas. Is the method.
  • plasma etching means that a high-frequency electric field is applied to a processing gas to cause glow discharge, and the processing gas is separated into chemically active ions, electrons, and neutral species, and these active species are separated. Etching is performed using a chemical reaction and a physical collision reaction between the material and the material to be etched.
  • hydrofluoroether (I) At least one selected from hydrofluoroethers represented by the following formula (I) (hereinafter sometimes referred to as “hydrofluoroether (I)”) is used as the processing gas.
  • R represents a hydrogen atom or a fluoroalkyl group represented by C n F 2n + 1 .
  • m and n are positive integers satisfying 1 ⁇ m ⁇ 3 and 3 ⁇ (m + n) ⁇ 4. )]
  • hydrofluoroether (I) examples include CF 3 —O—CH 2 —C 2 F 5 , CF 3 —O—CH 2 —n—C 3 F 7 , and CF 3 —O—CH 2 —i. —C 3 F 7 , C 2 F 5 —O—CH 2 —CF 3 , C 2 F 5 —O—CH 2 —C 2 F 5 , nC 3 F 7 —O—CH 3 , iC 3 F 7 —O—CH 3 may be mentioned.
  • the hydrofluoroether (I) is nC 3 F 7 -O, from the viewpoint of obtaining a sufficient etching rate and a high etching selectivity with respect to a non-processed object at the same time.
  • the hydrofluoroether used in the present invention is characterized by not having both fluorine and hydrogen elements on the same carbon as in the above formula (I).
  • fluorine and hydrogen are present on the same carbon, in the plasma environment where etching is performed, hydrogen is less likely to dissociate from carbon than the methyl group (—CH 3 ) and methylene group (—CH 2 —), and is free in the plasma environment. This is because the collected fluorine cannot be captured. If the liberated fluorine cannot be captured, the etching selectivity with respect to the silicon nitride film and the resist is lowered.
  • the number of carbon atoms in the hydrofluoroether molecule is small, it becomes difficult to form a deposited film on the silicon nitride film or the photoresist, so that the etching selectivity is lowered.
  • the number of carbon atoms is preferably 4 or more, and more preferably 4 or more and 5 or less. If the number of carbon atoms exceeds 5, the boiling point of the hydrofluoroether increases, making it difficult to use for etching.
  • the treatment gas may be a mixture of the hydrofluoroether (I) and a rare gas.
  • the rare gas include at least one selected from the group consisting of helium, argon, neon, krypton, and xenon.
  • the mixing ratio of the rare gas is 20 to 3000 parts by volume with respect to 100 parts by volume of the hydrofluoroether (I). It is preferably 1000 to 2500 volume parts, more preferably 1800 to 2200 volume parts.
  • Each gas used as a soot processing gas is usually filled and transported in a container such as a cylinder, and connected and installed in a dry etching facility (dry etching chamber). Then, by opening the valve of the cylinder, each gas is introduced into a dry etching chamber that receives the action of plasma, and the plasma acts on each gas, so that dry etching proceeds.
  • the plasma etching method of the present invention is characterized in that when silicon oxide, silicon nitride, silicon, and an organic film are etched simultaneously, silicon oxide is selectively etched with respect to each of the silicon nitride, silicon, and organic film.
  • silicon oxide in the present invention refers to a silicon compound containing an oxygen atom such as SiO 2 , SiOC, or SiOCH.
  • Silicon nitride is a silicon compound containing nitrogen atoms such as Si 3 N 4 (SiN) or SiCN. Examples of “silicon” include crystalline silicon, polycrystalline silicon, and amorphous silicon.
  • Organic material refers to a carbon-based material such as photoresist or amorphous carbon.
  • the plasma etching method of the present invention can be carried out using a plasma etching apparatus.
  • the plasma etching apparatus to be used is not particularly limited, and a conventionally known plasma etching apparatus may be used.
  • devices such as a helicon wave method, a high frequency induction method, a parallel plate type, a magnetron method, and a microwave method can be used.
  • parallel plate type, high frequency induction type and microwave type devices that can easily generate plasma in a high density region are preferably used, but are not particularly limited thereto.
  • the plasma etching conditions are not particularly limited, and may be performed under conventionally known etching conditions, for example, a parallel plate type, an upper electrode of 60 MHz, a lower electrode of 2 MHz, and a distance between these electrodes of 35 mm.
  • the power supplied to the upper electrode can be freely combined in the range of 200 W to 2000 W, and the power supplied to the lower electrode can be 0 to 600 W.
  • the substrate temperature is preferably controlled using helium gas and a cooling device, and the control temperature ranges from ⁇ 50 ° C. to + 60 ° C., more preferably from ⁇ 20 ° C. to + 40 ° C., and even more preferably from ⁇ 10 ° C. to + 20 ° C. Set with.
  • the pressure in the reaction chamber of the plasma etching apparatus is in the range of 1 Pa to 10 Pa.
  • a silicon substrate piece having a silicon oxide film (SiO 2 ), a silicon nitride film (Si 3 N 4 ), a polycrystalline silicon film, and a photoresist coating film for an ArF excimer laser is simultaneously introduced into a plasma etching apparatus, and plasma etching is performed. It was.
  • the etching rate was calculated from the change of each film thickness before and after the etching. Further, when a polymerized film was formed without progressing etching, a negative sign was added to the film thickness divided by the etching time, and the etching rate was expressed.
  • the etching selectivity was obtained by dividing the etching rate of the silicon oxide film by the etching rate of each film to be selected.
  • etching apparatus As an etching apparatus, a parallel plate type was used, and an upper electrode of 60 MHz and a lower electrode of 2 MHz were installed at an interval of 35 mm. Etching is performed for 60 seconds with the power of the upper electrode being 600 W, the power of the lower electrode being 240 W, the pressure in the chamber being constant at 2 Pa, and the cooling of the lower part being 0 ° C. and the helium pressure being set to 1000 Pa. It was. As processing gases, 1,1,2,2,3,3,3-heptafluoropropyl methyl ether (C 4 H 3 F 7 O) was introduced into the plasma apparatus at 10 sccm and argon at 200 sccm.
  • C 4 H 3 F 7 O 1,1,2,2,3,3,3-heptafluoropropyl methyl ether
  • the etching rate of the silicon oxide film is 258 nm / min
  • the etching rate of the silicon nitride film is ⁇ 118 nm / min
  • the etching rate of the polycrystalline silicon film is ⁇ 45 nm / min
  • the etching rate of the photoresist is 9.6 nm / min. min.
  • the etching selectivity of the silicon oxide film was infinite ( ⁇ ) for the silicon nitride film and polycrystalline silicon, and 27.0 for the photoresist.
  • Example 2 Changing the processing gas from 1,1,2,2,3,3,3-heptafluoropropyl methyl ether (C 4 H 3 F 7 O) to heptafluoroisopropyl methyl ether (C 4 H 3 F 7 O) Except for the above, etching was performed under the same conditions as in Example 1. As a result of etching, the etching rate of the silicon oxide film is 278 nm / min, the etching rate of the silicon nitride film is ⁇ 116 nm / min, the etching rate of the polycrystalline silicon film is ⁇ 61 nm / min, and the etching rate of the photoresist is ⁇ 54 nm / min. Met. The etching selectivity of the silicon oxide film is infinite for all of the silicon nitride film, polycrystalline silicon, and photoresist.
  • etching rate of the silicon oxide film is 225 nm / min
  • the etching rate of the silicon nitride film is 266 nm / min
  • the etching rate of the polycrystalline silicon film is ⁇ 39 nm / min
  • the etching rate of the photoresist is ⁇ 41 nm / min. there were.
  • the etching selectivity of the silicon oxide film was 0.8 for the silicon nitride film and infinite for the polycrystalline silicon and the photoresist.
  • etching rate of the silicon oxide film is 272 nm / min
  • the etching rate of the silicon nitride film is 267 nm / min
  • the etching rate of the polycrystalline silicon film is 10.4 nm / min
  • the etching rate of the photoresist is 40 nm / min. there were.
  • the etching selectivity of the silicon oxide film was 1.0 for the silicon nitride film, 26 for the polycrystalline silicon, and 6.8 for the photoresist.
  • etching rate of the silicon oxide film was 239 nm / min
  • the etching rate of the silicon nitride film was 308 nm / min
  • the etching rate of the polycrystalline silicon film was ⁇ 53 nm / min
  • the etching rate of the photoresist was 23 nm / min. It was.
  • the etching selectivity of the silicon oxide film was 0.8 for the silicon nitride film, infinite for the polycrystalline silicon, and 10 for the photoresist.
  • etching was performed under the same conditions as in Comparative Example 6 except that the processing gas was changed from hexafluoro-1,3-butadiene (C 4 F 6 ) to octafluorocyclopentene (C 5 F 8 ).
  • the etching rate of the silicon oxide film is 80 nm / min
  • the etching rate of the silicon nitride film is ⁇ 38 nm / min
  • the etching rate of the polycrystalline silicon film is 29 nm / min
  • the etching rate of the photoresist is ⁇ 2.0 nm / min. min.
  • the etching selectivity of the silicon oxide film was infinite for the silicon nitride film, 2.8 for the polycrystalline silicon, and infinite for the photoresist.
  • the fluorine compounds used as components of the processing gas are as follows.
  • G CH 3 —O—CF 2 —CF 3 H: C 4 F 6 I: C 5 F 8
  • Table 1 shows the following.
  • Examples 1 and 2 an infinite or very high etching selectivity can be obtained for silicon nitride, silicon and photoresist while maintaining the etching rate of silicon oxide at 200 nm / min or more.
  • Comparative Examples 1 to 5 although the etching rate is sufficiently high, the selectivity with silicon nitride or photoresist cannot be obtained.
  • Comparative Examples 6 and 7 although a high etching selectivity can be obtained, the etching rate of silicon oxide is low.
  • a high silicon oxide etching selectivity can be obtained for silicon nitride, silicon, and photoresist.
  • Silicon oxide is selectively used not only for substrates with mixed films or substrates with mixed silicon oxide and silicon, but also for substrates with mixed silicon materials such as silicon oxide, silicon nitride, silicon, and photoresist. Can be etched.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 本発明は、プラズマ条件下において処理ガスを用いるプラズマエッチング方法であって、式(I)で表されるハイドロフルオロエーテルより選ばれる少なくとも1種を処理ガスとして用いることを特徴とするプラズマエッチング方法である。式(1)中、Rは、水素原子、または、C2n+1で示されるフルオロアルキル基を表す。m、nは、1≦m≦3、3≦(m+n)≦4を満たす整数を示す。本発明によれば、 シリコン酸化物のエッチングにおいて、酸素や水素を用いなくても、十分に高いエッチング速度を得ながら、シリコン窒化物やシリコン、有機材料との高いエッチング選択性を実現することが出来るプラズマエッチング方法が提供される。

Description

プラズマエッチング方法
  本発明は、半導体デバイスの製造工程において、プラズマ条件下において処理ガスを用いるプラズマエッチング方法であって、シリコン酸化物と、シリコン窒化物、シリコンおよび有機膜からなる群から選ばれる少なくとも一種とを同時にエッチングする際、シリコン酸化物を選択的にプラズマエッチングする方法に関する。
  半導体デバイスの製造においては、シリコン化合物膜〔例えば、SiO膜、Si(SiN)膜、SiC膜等〕を所望の形状に微細加工する際、処理ガスを用いてプラズマエッチングを行う工程がある。プラズマエッチングを行う際には、加工の対象とするシリコン化合物膜と、同一基板上に混在する加工不要(非加工対象)のシリコン化合物膜や有機膜とのエッチング選択性が重要となる。
  従来、こうした加工対象と非加工対象のシリコン化合物の種類や組み合わせに対して、エッチング速度とエッチング選択比の要求を満たすために、様々なプラズマエッチング用の処理ガスが提案されている。例えば、非特許文献1や特許文献1には、シリコン酸化膜のエッチングには、C、C、Cといったフルオロカーボンや、CHF、CHFといったハイドロフルオロカーボンを処理ガスとして用いる方法が記載されている。
  前記のフルオロカーボンやハイドロフルオロカーボンは、通常プラズマエッチングの際においては、アルゴンなどの希ガスや酸素と混合して用いられる。これは、フルオロカーボンまたはハイドロフルオロカーボンのみを処理ガスとして用いると、基板上に厚いプラズマ重合膜が形成され、エッチングが進行しないためである。
  ここで希ガスは、フルオロカーボンやハイドロフルオロカーボンを希釈することを目的に用い、酸素は、プラズマ重合膜の前駆体であり、エッチングに寄与する活性種でもあるCFx(xは1~3の整数)の量を制御するために用いられる。CFxの量が多いと基板上で重合膜形成が起こり、適切な量となった段階ではじめてエッチングが進行する。
 CFxの量を制御するには、通常は混合する酸素の流量で調整を行う。例えば、酸素混合流量を少しずつ増加させると、シリコン酸化物の重合速度が徐々に低下していき、やがて重合膜形成が優先的に起こっていた酸素混合流量域から、シリコン酸化物がエッチングされる酸素の流量域に変わる。この流量域において、例えばシリコン窒化物やシリコン、フォトレジストなどの有機膜に対してシリコン酸化物のエッチング速度が十分に高ければ、選択比の良いシリコン酸化物のエッチングが可能となる。
 また、シリコン酸化物のエッチングにおいて、例えばシリコンに対して選択的なエッチングを実現しようとした場合、水素ガスを添加する方法が知られている。水素ガスを添加する理由は、フルオロカーボンガスから生成するフッ素ラジカルがシリコンをエッチングすることから、水素ガスを添加してフッ素ラジカルをHFという形にして捕捉し、シリコンがエッチングされるのを防ぐためである。
 しかしながら、水素ガスを用いることは安全対策上容易ではなく、また水素ガスと酸素ガスとを同時に用いることは更に容易ではない。
 このような問題を解決する方法として、例えばガスの分子中に水素原子を含むハイドロフルオロカーボンを用いる方法が考えられる。
 しかし、ハイドロフルオロカーボンは、フルオロカーボンと比較して重合しやすく、酸素を添加することでエッチングを制御しようとすると、十分なエッチング速度と高いエッチング選択性を同時に満たすガスの流量調整が極めて困難となる。
 また、シリコン酸化物のエッチングにおいては、シリコン窒化物やシリコン、有機膜等の複数の非加工対象が基板上に混在し、いずれの材料に対しても高い選択比を保つ条件を選定すると、シリコン酸化膜のエッチング速度が低くなってしまうため、エッチング工程の生産性が悪くなることも問題となる。
日本国特許第5440170号(US2010/0264116A1)
Nishi  et  al.,Handbook  of  Semiconductor  Manufacturing  Technology、Second  Edition(2007)p21-p43
  本発明は、上記従来技術に鑑みてなされたものであって、シリコン酸化物のエッチングにおいて、酸素や水素を用いなくても、十分に高いエッチング速度を得ながら、シリコン窒化物やシリコン、有機材料との高いエッチング選択性を実現することが出来るプラズマエッチング方法を提供することを目的とする。
  本発明者は、上記課題を解決すべく、種々の化合物をエッチングガスとして用い、シリコン酸化膜のプラズマエッチング方法について鋭意検討した。その結果、プラズマエッチング用の処理ガスとして、ある特定の条件を満たすハイドロフルオロエーテルを用いると、十分なエッチング速度と、シリコン窒化物、シリコン、有機膜から選ばれる少なくとも1種の非加工対象物に対して高いエッチング選択比が同時に得られることを見出し、本発明を完成させるに至った。
  かくして本発明によれば、下記(1)~(4)のプラズマエッチング方法が提供される。
(1)プラズマ条件下において処理ガスを用いるプラズマエッチング方法であって、式(I)で表されるハイドロフルオロエーテルより選ばれる少なくとも1種を処理ガスとして用いることを特徴とするプラズマエッチング方法。
Figure JPOXMLDOC01-appb-C000002
〔式中、Rは、水素原子、または、C2n+1で示されるフルオロアルキル基を表す。m、nは、1≦m≦3、3≦(m+n)≦4を満たす正整数を示す。)〕
(2)前記ハイドロフルオロエーテルが、1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル、及び、ヘプタフルオロイソプロピルメチルエーテルからなる群より選ばれる少なくとも1種である、(1)に記載のプラズマエッチング方法。
(3)前記処理ガスとして、前記式(I)で表されるハイドロフルオロエーテルと希ガスを、前記式(I)で表されるハイドロフルオロエーテル100容量部に対して、希ガスを20~3000容量部含有するものを用いる、(1)または(2)に記載のプラズマエッチング方法。
(4)シリコン酸化物と、シリコン窒化物、シリコンおよび有機材料からなる群から選ばれる少なくとも一種とを同時にエッチングする際、シリコン酸化物を選択的にエッチングすることを特徴とする、(1)~(3)のいずれかに記載のプラズマエッチング方法。
  本発明のプラズマエッチング方法によれば、シリコン酸化物のエッチングにおいて、酸素や水素を用いなくても、十分に高いエッチング速度を得ながら、シリコン窒化物やシリコン、有機材料との高いエッチング選択性を実現することできる。
  以下、本発明を詳細に説明する。
  本発明は、プラズマ条件下において処理ガスを用いるプラズマエッチング方法であって、前記式(I)で表されるハイドロフルオロエーテルより選ばれる少なくとも1種を処理ガスして用いることを特徴とするプラズマエッチング方法である。
  本発明における、「プラズマエッチング」とは、処理ガスに高周波の電場を印加してグロー放電を起こし、処理ガスを化学的に活性なイオン、電子、中性種に分離させて、これらの活性種とエッチング対象材料との化学的反応及び物理的衝突による反応を利用してエッチングを行うことを言う。
  本発明は、処理ガスとして、下記式(I)で表されるハイドロフルオロエーテルより選ばれる少なくとも1種(以下、「ハイドロフルオロエーテル(I)」ということがある。)を用いる。
Figure JPOXMLDOC01-appb-C000003
〔式中、Rは、水素原子、または、C2n+1で示されるフルオロアルキル基を表す。m、nは、1≦m≦3、3≦(m+n)≦4を満たす正整数を示す。)〕
  前記ハイドロフルオロエーテル(I)の具体例としては、CF-O-CH-C、CF-O-CH-n-C、CF-O-CH-i-C、C-O-CH-CF、C-O-CH-C、n-C-O-CH、i-C-O-CHが挙げられる。
 これらの中でも、本発明においては、十分なエッチング速度と非加工対象物に対して高いエッチング選択比が同時に得られる観点から、前記ハイドロフルオロエーテル(I)としては、n-C-O-CH、(1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル)、及び、i-C-O-CH、(ヘプタフルオロイソプロピルメチルエーテル)からなる群より選ばれる少なくとも1種であることが好ましい。
 本発明で用いるハイドロフルオロエーテルは、上述の式(I)の如く、同一炭素上にフッ素と水素両方の元素を持たないことを特徴としている。同一炭素上にフッ素と水素が存在する場合、エッチングを行うプラズマ環境においては、メチル基(-CH)やメチレン基(-CH-)よりも水素が炭素から解離しにくく、プラズマ環境において遊離したフッ素を捕捉することができないからである。遊離したフッ素を捕捉できないと、シリコン窒化膜やレジストに対するエッチング選択比が低下してしまう。
 また、ハイドロフルオロエーテル分子中の炭素の数が少ないと、シリコン窒化膜やフォトレジスト上に堆積膜の形成が困難となるため、エッチング選択比が低下してしまう。この観点から、炭素数は4以上であることが好ましく、4以上5以下であることがより好ましい。炭素数が5を超えると、ハイドロフルオロエーテルの沸点が高くなり、エッチングに用いることが困難となる。
  本発明においては、処理ガスとして、前記ハイドロフルオロエーテル(I)に、更に、希ガスを混合したものを用いてもよい。希ガスとしては、ヘリウム、アルゴン、ネオン、クリプトン、キセノンからなる群から選ばれる少なくとも1種が挙げられる。希ガスを混合して用いることにより、より十分なエッチング速度と非加工対象物に対してより高いエッチング選択比が同時に得られるプラズマエッチングを実現することができる。
  前記処理ガスとして、ハイドロフルオロエーテル(I)と希ガスの混合ガスを用いる場合、希ガスの混合割合は、ハイドロフルオロエーテル(I)100容量部に対して、20~3000容量部であることが好ましく、1000~2500容量部であることがより好ましく、1800~2200容量部であることがさらに好ましい。
  処理ガスとして用いる各ガスは、それぞれ独立して、通常、ボンベなどの容器に充填されて運搬され、ドライエッチング設備(ドライエッチングチャンバー)に接続、設置される。そして、該ボンベのバルブを開くことにより、各ガスが、プラズマの作用を受けるドライエッチングチャンバー内に導入され、各ガスにプラズマが作用し、ドライエッチングが進行する。
  本発明のプラズマエッチング方法は、シリコン酸化物、シリコン窒化物、シリコンおよび有機膜を同時にエッチングする際、シリコン窒化物、シリコン、有機膜それぞれに対してシリコン酸化物を選択的にエッチングすることを特徴とする。
  本発明における「シリコン酸化物」とは、例えばSiO、SiOC、SiOCHなど酸素原子を含有するシリコン化合物のことをいう。
「シリコン窒化物」とは、Si(SiN)、SiCNなど窒素原子を含有するシリコン化合物のことである。
  また「シリコン」とは、例えば結晶シリコンや多結晶シリコン、アモルファスシリコンなどが挙げられる。
  「有機材料」とは、フォトレジストやアモルファスカーボンなどの炭素系材料のことを表す。
  本発明のプラズマエッチング方法は、プラズマエッチング装置を使用して実施することができる。用いるプラズマエッチング装置としては、特に限定されず、従来公知のプラズマエッチング装置を用いればよい。例えば、ヘリコン波方式、高周波誘導方式、平行平板タイプ、マグネトロン方式及びマイクロ波方式等の装置が挙げられる。本発明においては、高密度領域のプラズマ発生が容易な平行平板タイプ、高周波誘導方式及びマイクロ波方式の装置が好適に使用されるが、特にこれらに限定されるものではない。
  プラズマエッチングの条件は、特に限定されず、従来公知のエッチング条件で行えばよい、例えば、平行平板タイプで上部電極が60MHz、下部電極が2MHzで、これらの電極間の距離が35mmである高周波プラズマエッチング装置を用いる場合、上部電極への供給電力は200W~2000W、下部電極への供給電力は0~600Wの範囲で自由に組み合わせることができる。
  基板温度は、ヘリウムガスと冷却装置を用いて制御を行うことが好ましく、制御温度は-50℃~+60℃、より好ましくは-20℃~+40℃、更に好ましくは-10℃~+20℃の範囲で設定する。プラズマエッチング装置の反応チャンバー内の圧力は1Pa~10Paの範囲である。
  以下、実施例及び比較例により、本発明をさらに詳細に説明する。ただし、本発明は、以下の実施例に何ら限定されるものではなく、本発明の主旨を逸脱しない範囲において、用いる処理ガスの種類、エッチング条件等を変更することができる。
<実施例1>
  シリコン酸化膜(SiO)、シリコン窒化膜(Si)、多結晶シリコン膜、ArFエキシマレーザー用のフォトレジスト塗布膜を有するシリコン基板片を同時にプラズマエッチング装置に導入し、プラズマエッチングを行った。エッチング前後の各膜厚の変化からエッチング速度を算出した。またエッチングが進行せず重合膜が生成した場合は、その膜厚をエッチング時間で除したものに負の符号を付けてエッチング速度として表した。
 エッチング選択比はシリコン酸化膜のエッチング速度を選択対象とする各膜のエッチング速度で割ることで求めた。
 エッチング装置としては、平行平板タイプのものを使用し、60MHzの上部電極と2MHzの下部電極を35mmの間隔で設置した。
 エッチングは、上部電極の電力を600W、下部電極の電力を240Wとし、チャンバー内圧力を2Paで一定にし、下部の冷却は、冷却ユニットを0℃とし、ヘリウム圧力を1000Paに設定し、60秒間行った。
 処理ガスとして、1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル(CO)を10sccm、アルゴンを200sccmでプラズマ装置に導入した。
 エッチングの結果、シリコン酸化膜のエッチング速度は258nm/min、シリコン窒化膜のエッチング速度は-118nm/min、多結晶シリコン膜のエッチング速度は-45nm/min、フォトレジストのエッチング速度は9.6nm/minであった。
 シリコン酸化膜のエッチング選択比は、シリコン窒化膜および多結晶シリコンに対して無限大(∞)であり、フォトレジストに対して27.0であった。
<実施例2>
 処理ガスを1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル(CO)からヘプタフルオロイソプロピルメチルエーテル(CO)に変更すること以外は、実施例1と同じ条件でエッチングを行った。
 エッチングの結果、シリコン酸化膜のエッチング速度は278nm/min、シリコン窒化膜のエッチング速度は-116nm/min、多結晶シリコン膜のエッチング速度は-61nm/min、フォトレジストのエッチング速度は-54nm/minであった。シリコン酸化膜のエッチング選択比は、シリコン窒化膜、多結晶シリコン、フォトレジストいずれに対しても無限大であった。
<比較例1>
  処理ガスを1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル(CO)から1,1,2,2-テトラフルオロエチルメチルエーテル(CO)に変更すること以外は、実施例1と同じ条件でエッチングを行った。
  エッチングの結果、シリコン酸化膜のエッチング速度は225nm/min、シリコン窒化膜のエッチング速度は266nm/min、多結晶シリコン膜のエッチング速度は-39nm/min、フォトレジストのエッチング速度は-41nm/minであった。シリコン酸化膜のエッチング選択比は、シリコン窒化膜に対して0.8であり多結晶シリコンおよびフォトレジストに対して無限大であった。
<比較例2>
 処理ガスを1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル(CO)から、(1,2,2,2-テトラフルオロエチル)ジフルオロメチルエーテル(CO)に変更すること以外は、実施例1と同じ条件でエッチングを行った。
 エッチングの結果、シリコン酸化膜のエッチング速度は272nm/min、シリコン窒化膜のエッチング速度は267nm/min、多結晶シリコン膜のエッチング速度は10.4nm/min、フォトレジストのエッチング速度は40nm/minであった。
 シリコン酸化膜のエッチング選択比は、シリコン窒化膜に対して1.0であり、多結晶シリコンに対して26であり、フォトレジストに対して6.8であった。
<比較例3>
 処理ガスを1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル(CO)から、パーフルオロ(n-プロピルビニルエーテル)(C10O)に変更すること以外は、実施例1と同じ条件でエッチングを行った。
 エッチングの結果、シリコン酸化膜のエッチング速度は423nm/min、シリコン窒化膜のエッチング速度は84nm/min、多結晶シリコン膜のエッチング速度は62nm/min、フォトレジストのエッチング速度は202nm/minであった。
 シリコン酸化膜のエッチング選択比は、シリコン窒化膜に対して5.0であり、多結晶シリコンに対して6.9であり、フォトレジストに対して2.1であった。
<比較例4>
 処理ガスを1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル(CO)から、ジフルオロメチル-2,2,2-トリフルオロエチルエーテル(CO)に変更すること以外は、実施例1と同じ条件でエッチングを行った。
 エッチングの結果、シリコン酸化膜のエッチング速度は239nm/min、シリコン窒化膜のエッチング速度は308nm/min、多結晶シリコン膜のエッチング速度は-53nm/min、フォトレジストのエッチング速度は23nm/minであった。
 シリコン酸化膜のエッチング選択比は、シリコン窒化膜に対して0.8であり、多結晶シリコンに対して無限大であり、フォトレジストに対して10であった。
<比較例5>
 処理ガスを1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル(CO)から、1,1,2,2,2-ペンタフルオロエチルメチルエーテル(CO)に変更すること以外は、実施例1と同じ条件でエッチングを行った。
 エッチングの結果、シリコン酸化膜のエッチング速度は227nm/min、シリコン窒化膜のエッチング速度は293nm/min、多結晶シリコン膜のエッチング速度は-46nm/min、フォトレジストのエッチング速度は-43nm/minであった。
 シリコン酸化膜のエッチング選択比は、シリコン窒化膜に対して0.8であり、多結晶シリコンおよびフォトレジストいずれに対しても無限大であった。
<比較例6>
 処理ガスを1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル(CO)から、ヘキサフルオロ-1,3-ブタジエン(C)に変更し、酸素を5sccmで添加すること以外は、実施例1と同じ条件でエッチングを行った。
 エッチングの結果、シリコン酸化膜のエッチング速度は83nm/min、シリコン窒化膜のエッチング速度は-20nm/min、多結晶シリコン膜のエッチング速度は8.6nm/min、フォトレジストのエッチング速度は2.5nm/minであった。
 シリコン酸化膜のエッチング選択比は、シリコン窒化膜に対して無限大であり、多結晶シリコンに対して9.6であり、フォトレジストに対して33であった。
<比較例7>
 処理ガスをヘキサフルオロ-1,3-ブタジエン(C)からオクタフルオロシクロペンテン(C)に変更すること以外は比較例6と同じ条件でエッチングを行った。
 エッチングの結果、シリコン酸化膜のエッチング速度は80nm/min、シリコン窒化膜のエッチング速度は-38nm/min、多結晶シリコン膜のエッチング速度は29nm/min、フォトレジストのエッチング速度は-2.0nm/minであった。
 シリコン酸化膜のエッチング選択比は、シリコン窒化膜に対して無限大であり、多結晶シリコンに対して2.8であり、フォトレジストに対して無限大であった。
  本発明における実施例および比較例を下記表1にまとめて示す。なお、比較例6、7はシリコン酸化膜のエッチングガスとして代表的なフッ素化合物を用いた。またこれらの化合物は酸素を加えないとエッチングが進行しないため、酸素流量を変化させ最もシリコン窒化物およびシリコンとの選択比が取れる条件を選定した。
  表1中、処理ガスの成分として用いたフッ素化合物は、次の通りである。
A:CF-CF-CF-O-CH
B:CH-O-CF(CF
C:CHF-CF-O-CH
D:CF-CHF-O-CHF
E:CF-CF-CF-O-CF=CF
F:CHF-O-CH-CF
G:CH-O-CF-CF
H:C
I:C
Figure JPOXMLDOC01-appb-T000004
  表1から、次のことがわかる。
実施例1、2においては、シリコン酸化物のエッチング速度を200nm/min以上を維持しながら、シリコン窒化物、シリコンおよびフォトレジストに対し無限大或いは非常に高いエッチング選択比を得ることができる。他方比較例1~5においては、エッチング速度は十分高いもののシリコン窒化物やフォトレジストとの選択比が取れない。また比較例6、7においては、高いエッチング選択比は得られるものの、シリコン酸化物のエッチング速度が低い。
  本発明の実施例によれば、シリコン窒化物、シリコン、フォトレジストに対しても、いずれも高いシリコン酸化物のエッチング選択比を得ることができるため、例えば、本発明によってシリコン酸化膜とシリコン窒化膜が混在する基板やシリコン酸化膜とシリコンが混在する基板のみならず、シリコン酸化膜、シリコン窒化膜、シリコン、およびフォトレジストなどの炭素系材料が混在する基板においても、選択的にシリコン酸化物をエッチングすることができる。

Claims (4)

  1.  プラズマ条件下において処理ガスを用いるプラズマエッチング方法であって、式(I)で表されるハイドロフルオロエーテルより選ばれる少なくとも1種を処理ガスとして用いることを特徴とするプラズマエッチング方法。
    Figure JPOXMLDOC01-appb-C000001
    〔式中、Rは、水素原子、または、C2n+1で示されるフルオロアルキル基を表す。m、nは、1≦m≦3、3≦(m+n)≦4を満たす整数を示す。)〕
  2.   前記ハイドロフルオロエーテルが、1,1,2,2,3,3,3-ヘプタフルオロプロピルメチルエーテル、及び、ヘプタフルオロイソプロピルメチルエーテルからなる群より選ばれる少なくとも1種である、請求項1に記載のプラズマエッチング方法。
  3.   前記処理ガスとして、前記式(I)で表されるハイドロフルオロエーテルと希ガスを、前記式(I)で表されるハイドロフルオロエーテル100容量部に対して、希ガスを20~3000容量部含有するものを用いる、請求項1または2に記載のプラズマエッチング方法。
  4.   シリコン酸化物と、シリコン窒化物、シリコンおよび有機膜からなる群から選ばれる少なくとも一種とを同時にエッチングする際、シリコン酸化物を選択的にエッチングすることを特徴とする、請求項1~3のいずれかに記載のプラズマエッチング方法。
PCT/JP2015/079785 2014-10-30 2015-10-22 プラズマエッチング方法 WO2016068004A1 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
EP15855011.1A EP3214640B1 (en) 2014-10-30 2015-10-22 Plasma etching method
JP2016556524A JP6696429B2 (ja) 2014-10-30 2015-10-22 プラズマエッチング方法
SG11201703122PA SG11201703122PA (en) 2014-10-30 2015-10-22 Plasma etching method
CN201580059034.0A CN107112232A (zh) 2014-10-30 2015-10-22 等离子体蚀刻方法
KR1020177013905A KR102494959B1 (ko) 2014-10-30 2015-10-22 플라즈마 에칭 방법
US15/519,897 US20170243756A1 (en) 2014-10-30 2015-10-22 Plasma etching method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014221423 2014-10-30
JP2014-221423 2014-10-30

Publications (1)

Publication Number Publication Date
WO2016068004A1 true WO2016068004A1 (ja) 2016-05-06

Family

ID=55857343

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/079785 WO2016068004A1 (ja) 2014-10-30 2015-10-22 プラズマエッチング方法

Country Status (8)

Country Link
US (1) US20170243756A1 (ja)
EP (1) EP3214640B1 (ja)
JP (1) JP6696429B2 (ja)
KR (1) KR102494959B1 (ja)
CN (1) CN107112232A (ja)
SG (1) SG11201703122PA (ja)
TW (1) TWI670768B (ja)
WO (1) WO2016068004A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3432345A4 (en) * 2016-03-16 2019-10-09 Zeon Corporation PLASMA ETCHING PROCESS
JP6637838B2 (ja) * 2016-05-26 2020-01-29 東京エレクトロン株式会社 プラズマ処理方法
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10305029B1 (en) 2017-11-10 2019-05-28 International Business Machines Corporation Image reversal process for tight pitch pillar arrays
US10304692B1 (en) * 2017-11-28 2019-05-28 International Business Machines Corporation Method of forming field effect transistor (FET) circuits, and forming integrated circuit (IC) chips with the FET circuits
KR102104240B1 (ko) 2018-08-13 2020-04-24 아주대학교 산학협력단 플라즈마 식각 방법
KR102327416B1 (ko) * 2019-08-20 2021-11-16 아주대학교산학협력단 플라즈마 식각 방법
KR102328590B1 (ko) * 2019-09-16 2021-11-17 아주대학교산학협력단 플라즈마 식각 방법
JP7387377B2 (ja) * 2019-10-18 2023-11-28 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR102389081B1 (ko) * 2020-04-06 2022-04-20 아주대학교산학협력단 PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법
KR20220008007A (ko) 2020-07-13 2022-01-20 (주)옵토레인 실리콘 기판의 금속촉매습식식각 방법
KR102441772B1 (ko) * 2020-11-13 2022-09-07 아주대학교산학협력단 플라즈마 식각 방법
WO2024029776A1 (ko) * 2022-08-05 2024-02-08 아주대학교산학협력단 헵타플루오로프로필 메틸 에테르와 헵타플루오로이소프로필 메틸 에테르를 이용한 플라즈마 식각 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10140151A (ja) * 1996-11-05 1998-05-26 Agency Of Ind Science & Technol ドライエッチング用ガス
JP2006074013A (ja) * 2004-07-23 2006-03-16 Air Products & Chemicals Inc 基板から炭素含有残渣類を除去する方法
WO2011047302A2 (en) * 2009-10-16 2011-04-21 Matheson Tri-Gas Chamber cleaning methods using fluorine containing cleaning compounds

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5440170B2 (ja) 1973-10-22 1979-12-01
US6121163A (en) * 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
JPH10223614A (ja) * 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6455479B1 (en) * 2000-08-03 2002-09-24 Shipley Company, L.L.C. Stripping composition
KR20020017182A (ko) * 2000-08-29 2002-03-07 윤종용 옥타플루오로부텐으로 이루어지는 식각 가스를 이용한반도체 소자의 제조방법
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
CN101015044A (zh) * 2004-05-31 2007-08-08 独立行政法人产业技术综合研究所 干式蚀刻气体及干式蚀刻方法
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
JP2008053507A (ja) * 2006-08-25 2008-03-06 Matsushita Electric Ind Co Ltd ドライエッチング方法
WO2009142281A1 (ja) * 2008-05-22 2009-11-26 旭硝子株式会社 フッ素化合物による洗浄方法
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10140151A (ja) * 1996-11-05 1998-05-26 Agency Of Ind Science & Technol ドライエッチング用ガス
JP2006074013A (ja) * 2004-07-23 2006-03-16 Air Products & Chemicals Inc 基板から炭素含有残渣類を除去する方法
WO2011047302A2 (en) * 2009-10-16 2011-04-21 Matheson Tri-Gas Chamber cleaning methods using fluorine containing cleaning compounds

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3214640A4 *

Also Published As

Publication number Publication date
KR20170076737A (ko) 2017-07-04
JPWO2016068004A1 (ja) 2017-08-10
TWI670768B (zh) 2019-09-01
EP3214640A1 (en) 2017-09-06
US20170243756A1 (en) 2017-08-24
KR102494959B1 (ko) 2023-02-01
TW201620037A (zh) 2016-06-01
EP3214640B1 (en) 2020-01-15
JP6696429B2 (ja) 2020-05-20
CN107112232A (zh) 2017-08-29
SG11201703122PA (en) 2017-06-29
EP3214640A4 (en) 2018-06-20

Similar Documents

Publication Publication Date Title
JP6696429B2 (ja) プラズマエッチング方法
JP5933694B2 (ja) ホウ素炭素膜をドライストリッピングする方法
JP6544215B2 (ja) ドライエッチング方法
JP5850043B2 (ja) エッチングガスおよびエッチング方法
CN108780749B (zh) 等离子体蚀刻方法
WO2018037799A1 (ja) プラズマエッチング方法
WO2018159368A1 (ja) ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法
WO2020195559A1 (ja) ドライエッチング方法及び半導体デバイスの製造方法
WO2017159512A1 (ja) プラズマエッチング方法
JP2017050413A (ja) プラズマエッチング方法
US11437244B2 (en) Dry etching gas composition and dry etching method
JP6569578B2 (ja) プラズマエッチング方法
JP2012043869A (ja) エッチングガスおよびエッチング方法
TW201735159A (zh) 電漿蝕刻方法
WO2023074511A1 (ja) エッチングガス及びそれを用いたエッチング方法
JP2018032667A (ja) プラズマエッチング方法
TW201906007A (zh) 電漿處理裝置的陳化方法及電漿蝕刻方法
JP2016051777A (ja) シリコン酸化膜のプラズマエッチング方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15855011

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016556524

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 15519897

Country of ref document: US

REEP Request for entry into the european phase

Ref document number: 2015855011

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 11201703122P

Country of ref document: SG

ENP Entry into the national phase

Ref document number: 20177013905

Country of ref document: KR

Kind code of ref document: A